首页 | 本学科首页   官方微博 | 高级检索  
     

基于FPGA的高频时钟的分频和分配设计
引用本文:杨义,吕英杰. 基于FPGA的高频时钟的分频和分配设计[J]. 国外电子元器件, 2004, 0(1): 11-14
作者姓名:杨义  吕英杰
作者单位:1. 郑州大学,物理工程学院,河南,郑州,450052
2. 中国电力科学研究院,北京,100085
摘    要:介绍了为PET(正电子发射断层扫描仪)的前端电子学模块提供时间基准而设计的一种新型高频时钟扇出电路。该电路利用FPGA芯片来实现对高频时钟的分频与分配,并用LVDS传输标准对生成的多路时钟信号进行传输,从而最大程度地减少了输出各路时钟之间的延时偏差,同时利用低压差分信号的传输特性增强了信号的抗干扰能力。文章给出了采用VHDL语言编写的时钟电路程序代码。

关 键 词:FPGA 高频时钟 VHDL 分频 分配 LVDS
文章编号:1006-6977(2004)01-0011-03
修稿时间:2003-06-10

The Design of High Frequency Clock Division and Fan-out with FPGA
YANG Yi,Lu Ying_jie. The Design of High Frequency Clock Division and Fan-out with FPGA[J]. International Electronic Elements, 2004, 0(1): 11-14
Authors:YANG Yi  Lu Ying_jie
Abstract:
Keywords:FPGA  high Frequency Clock  VHDL  
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号