首页 | 本学科首页   官方微博 | 高级检索  
     

基于FPGA的VGA接口驱动技术
引用本文:姜世杰,余红英,洪永学,林丽蓉.基于FPGA的VGA接口驱动技术[J].电子测试,2012(12):29-32,71.
作者姓名:姜世杰  余红英  洪永学  林丽蓉
作者单位:中北大学信息与通信工程学院,山西太原,030051
摘    要:VGA(视频图形阵列)作为一种标准的显示接口得到广泛应用。依据VGA显示原理,利用Verilog硬件编程语言作为逻辑描述手段,设计了一种基于现场可编程器件FPGA的VGA接口控制器。主要是对于系统时序的控制,完成对整个显示器的扫描,利用FPGA的高频率时钟优点;在使用FPGA的嵌入式系统中能代替VGA的专用显示芯片,节约硬件成本,节省计算机处理时间,加快数据处理速度并具有显示面积大、色彩丰富、承载信息量大、接口简单等优点。最后利用ModelSim进行仿真运行,得出与资料相同的时序波形。

关 键 词:VGA  FPGA  接口控制器

FPGA implementation of VGA interface
Jiang Shijie,Yu Hongying,Hong Yongxue,Lin Lirong.FPGA implementation of VGA interface[J].Electronic Test,2012(12):29-32,71.
Authors:Jiang Shijie  Yu Hongying  Hong Yongxue  Lin Lirong
Affiliation:(School if Information and Communication Engineering,North University of China,Taiyuan,Shanxi 030051)
Abstract:
Keywords:VGA  FPGA  interface controller
本文献已被 CNKI 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号