首页 | 本学科首页   官方微博 | 高级检索  
     


Performance Estimation of Task Graphs Based on Path Profiling
Authors:Email author" target="_blank">Marco?LattuadaEmail author  Christian?Pilato  Fabrizio?Ferrandi
Affiliation:1.Dipartimento di Elettronica, Informazione e Bioingegneria,Politecnico di Milano,Milano,Italy;2.Department of Computer Science,Columbia University,New York,USA
Abstract:Correctly estimating the speed-up of a parallel embedded application is crucial to efficiently compare different parallelization techniques, task graph transformations or mapping and scheduling solutions. Unfortunately, especially in case of control-dominated applications, task correlations may heavily affect the execution time of the solutions and usually this is not properly taken into account during performance analysis. We propose a methodology that combines a single profiling of the initial sequential specification with different decisions in terms of partitioning, mapping, and scheduling in order to better estimate the actual speed-up of these solutions. We validated our approach on a multi-processor simulation platform: experimental results show that our methodology, effectively identifying the correlations among tasks, significantly outperforms existing approaches for speed-up estimation. Indeed, we obtained an absolute error less than 5 % in average, even when compiling the code with different optimization levels.
Keywords:
本文献已被 SpringerLink 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号