首页 | 本学科首页   官方微博 | 高级检索  
     

VHDL语言为核心的EDA技术在医学中的应用
引用本文:谈笑玲,段新文.VHDL语言为核心的EDA技术在医学中的应用[J].现代电子技术,2010,33(8):70-72.
作者姓名:谈笑玲  段新文
作者单位:青海师范大学,青海,西宁,810008
摘    要:在此将VHDL语言设计的计数器应用于脉搏测量,精确的计量出脉搏跳动,并通过数码管直观地表示出来。显示出VHDL语言设计数字系统与医学的紧密联系及其在医疗实践中的巨大应用前景。实践证明,将EDA技术与医学相结合,不仅能促进EDA技术的深入发展,而且能够极大地推动医学的进步。

关 键 词:VHDL  Max+Plus  II  计数器  EDA技术

Medical Application of EDA Technology Taking VHDL Language as Core
TAN Xiao-ling,DUAN Xin-wen.Medical Application of EDA Technology Taking VHDL Language as Core[J].Modern Electronic Technique,2010,33(8):70-72.
Authors:TAN Xiao-ling  DUAN Xin-wen
Affiliation:TAN Xiao-ling,DUAN Xin-wen (Qinghai Normal University,Xining 810008,China)
Abstract:
Keywords:VHDL
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号