首页 | 本学科首页   官方微博 | 高级检索  
     

LVDS的接口电路设计
引用本文:彭勇,黄秋元. LVDS的接口电路设计[J]. 武汉理工大学学报(信息与管理工程版), 2005, 27(5): 189-192
作者姓名:彭勇  黄秋元
作者单位:武汉理工大学,信息工程学院,湖北,武汉,430070
基金项目:湖北省自然科学基金资助项目(2004ABA045)
摘    要:LVDS是一种小振幅差分信号技术,使用这种技术传输速率可以达到数百兆,甚至更高;LVDS具有更低的功耗、更好的噪声性能和更可靠的稳定性。简要地介绍了LVDS的原理及优势,分析了LVDS接口设计要注意的问题,着重研究了LVDS与LVPECL、CML间的接口设计;同时给出了不同耦合方式下的电路设计图。

关 键 词:LVDS  CML  LVPECL  直流耦合  交流耦合
文章编号:1007-144X(2005)05-0189-04
收稿时间:2005-05-15
修稿时间:2005-05-15

Design of a LVDS''''s Interface Circuit
Peng Yong,Huang Qiuyuan. Design of a LVDS''''s Interface Circuit[J]. Journal of Wuhan University of Technology(Information & Management Engineering), 2005, 27(5): 189-192
Authors:Peng Yong  Huang Qiuyuan
Abstract:LVDS is a small amplitude differential signal technology,the rate can reach several hundred Mbps or even higher;LVDS has lower consumption,better noise performance and more reliable stability.The principle and advantages of LVDS are introduced.The interfaces between LVDS and LVPECL / CML are analyzed,and the circuit diagram with different couplings is provided.
Keywords:LVDS  CML  LVPECL  DC coupling  AC coupling
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号