首页 | 本学科首页   官方微博 | 高级检索  
     

基于FPGA的以太网MAC控制器的实现
引用本文:王时雨,贺占庄,张盛兵.基于FPGA的以太网MAC控制器的实现[J].微电子学与计算机,2012,29(2):171-175.
作者姓名:王时雨  贺占庄  张盛兵
作者单位:1. 西北工业大学软件与微电子学院,陕西西安710072/西安微电子技术研究所,陕西西安710054
2. 西安微电子技术研究所,陕西西安,710054
3. 西北工业大学软件与微电子学院,陕西西安,710072
摘    要:以太网IEEE802.3协议根据LAN的特点,把数据链路层分成LLC(逻辑链路控制)和MAC(介质访问控制)两个子层.MAC层协议作为数据帧收发的基础,是以太网技术的核心,主要负责上层数据和物理层的数据流量控制和数据流的检测、校验工作.介绍了基于FPGA的10MHz/100MHz以太网MAC控制器的设计,整个设计用Verilog语言实现.自主设计开发验证板,使用Altera厂商的FPGA(EP1C20F400C8)并验证.

关 键 词:MAC协议  FPGA  Verilog

Design of MAC Controller Based on FPGA
WANG Shi-yu,HE Zhan-zhuang,ZHANG Sheng-bing.Design of MAC Controller Based on FPGA[J].Microelectronics & Computer,2012,29(2):171-175.
Authors:WANG Shi-yu  HE Zhan-zhuang  ZHANG Sheng-bing
Affiliation:1(1 College of Software,Northwestern Polytechnical University,Xi ′an 710072,China; 2 Xi′an Institute of Microelectronics Technology,Xi ′an 710054,China)
Abstract:Ethernet ieee802.3 agreements on the basis of the lan,Data link layer depart into LLC and MAC two layers.The MAC agreement is basis of receive data frame or send data frame,is the core of the ethernet technology,It is mainly responsible for the data and the physical layer data traffic control and data of the inspection and verification.This passage introduce design of 10 MHz/100 MHz MAC controller,Use Verilog language designed for all.Design the Validation board by myself.Use the FPGA(EP1C20F400C8)made by Altera to validate the function.
Keywords:MAC Agreement  FPGA  Verilog
本文献已被 CNKI 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号