首页 | 本学科首页   官方微博 | 高级检索  
     

基于FPGA的可变长度移位寄存器优化设计
引用本文:陈永强,李茜. 基于FPGA的可变长度移位寄存器优化设计[J]. 电子技术应用, 2006, 32(8): 68-70
作者姓名:陈永强  李茜
作者单位:西华大学,电气信息学院,四川,成都,610039;西华大学,能源与环境学院,四川,成都,610039
摘    要:结合FPGA的结构,针对高速数据采集系统中触发控制单元的特点,提出了采用优化结构的可变长度移位寄存器改进基于FPGA的触发控制单元的方法,同时从器件的搭配和寄存器结构两方面探讨了可变长度移位寄存器的优化方案,并给出了应用示例。

关 键 词:触发控制单元  可变长度移位寄存器  FPGA
修稿时间:2006-04-09

The optimization design based on FPGA of configurable shift register
Abstract:
Keywords:FPGA
本文献已被 CNKI 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号