首页 | 本学科首页   官方微博 | 高级检索  
     

用于数字频率合成器的NCO实现与优化
引用本文:时述有,姜玉生.用于数字频率合成器的NCO实现与优化[J].辽东学院学报(自然科学版),2013(4):267-270.
作者姓名:时述有  姜玉生
作者单位:辽东学院实验中心
摘    要:文章分别介绍了基于查找表法和CORDIC(COordination Rotation DIgital Computer)法实现的数控振荡器的优点和缺点,并推导了CORDIC算法产生正余弦信号的实现过程。综合它们各自的优点,实现一种混合算法的数控振荡器,最后将其运用FPGA技术实现。Modelsim和quartusⅡ仿真和验证结果表明,此设计是可行的,并且易于FPGA实现。与仅仅基于查找表法实现的NCO相比,其结构更简单,精度高,耗费资源少,速度快。

关 键 词:数控振荡器  CORDIC算法  查找表法  流水线结构  GPGA(现场可编程逻辑门阵列)
本文献已被 CNKI 维普 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号