首页 | 本学科首页   官方微博 | 高级检索  
     


Buffering global interconnects in structured ASIC design
Authors:Tianpei Zhang  Sachin S. Sapatnekar
Affiliation:Department of Electrical and Computer Engineering, University of Minnesota, Minneapolis, MN 55455, USA
Abstract:Structured ASICs present an attractive alternative to reducing design costs and turnaround times in nanometer designs. As with conventional ASICs, such designs require global wires to be buffered. However, via-programmable designs must prefabricate and preplace buffers in the layout. This paper proposes a novel and accurate statistical estimation technique for distributing prefabricated buffers through a layout. It employs Rent's rule to estimate the buffer distribution required for the layout, so that an appropriate structured ASIC may be selected for the design. Experimental results show that the buffer distribution estimation is accurate and economic, and that a uniform buffer distribution can maintain a high degree of regularity in design and shows a good timing performance, comparable with nonuniform buffer distribution.
Keywords:Structured ASIC   Rent's rule   Buffer insertion   Interconnect   Physical design
本文献已被 ScienceDirect 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号