首页 | 本学科首页   官方微博 | 高级检索  
     

基于FPGA的CORDIC算法的分析和实现
引用本文:刘伟亮.基于FPGA的CORDIC算法的分析和实现[J].数字社区&智能家居,2013(4):841-843,872.
作者姓名:刘伟亮
作者单位:重庆邮电大学
摘    要:该文分析了CORDIC算法为作为硬件实现基本的运算的方法,实现了开方、正弦、余弦、乘法、除法、正切、反正切,和一些双曲函数的实现。提供了一种基于流线设计的CORDIC算法的实现,在每层流水线上都可实现6种计算,共用一个加法器。减少了硬件内部资源的使用。通过是实现的结果可以看到计算结果在水线级数STAGE+3个CLK信号后实现了连续的实时计算结果。

关 键 词:CORDIC  流水线设计  共用  基本运算
本文献已被 CNKI 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号