首页 | 本学科首页   官方微博 | 高级检索  
     

基于FPGA的FSK调制解调器设计
作者单位:;1.琼州学院电子信息工程学院
摘    要:数字通信系统中的数字调制与解调技术包括幅度键控(ASK)、频移键控(FSK)和相移键控(PSK),而FSK是应用较广的一种调制与解调方式。利用VHDL语言设计了2FSK调制解调器,并通过QuartusⅡ仿真平台进行仿真验证,最后下载到FPGA芯片EP1K30QC208-2实现了2FSK调制解调电路。仿真及实验结果表明采用此设计方案是可行的,并具有速度快、可靠性高及易于大规模集成的优点。


Design of FSK modulator-demodulator based on FPGA
Abstract:
Keywords:FSK  调制  解调  VHDL  FPGA
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号