首页 | 本学科首页   官方微博 | 高级检索  
     

基于VHDL的多功能可变模计数器设计
引用本文:屈宝鹏,张喜凤.基于VHDL的多功能可变模计数器设计[J].现代电子技术,2009,32(16):16-18.
作者姓名:屈宝鹏  张喜凤
作者单位:陕西国防工业职业技术学院,陕西,西安,710300
摘    要:可变模计数器作为一种基本数字电路模块,在各种数字系统中应用广泛.在对现有的可变模计数器的研究基础上,在QuartusⅡ开发环境中,用VHDL语言设计一种功能更加强大的可变模计数器,它具有清零、置数、使能控制、可逆计数和可变模等功能,并且对传统的可变模计数器的计数失控问题进行研究,最终设计出一种没有计数失控缺陷的可变模计数器,并以ACEX1K系列EP1K30QC208芯片为硬件环境,验证了其各项设计功能.结果表明该设计正确,功能完整,运行稳定.

关 键 词:计数器  可变模计数  可逆计数

Design of Multi-functional Module-alterable Counter Based on VHDL
Qu Baopeng,ZHANG Xifeng.Design of Multi-functional Module-alterable Counter Based on VHDL[J].Modern Electronic Technique,2009,32(16):16-18.
Authors:Qu Baopeng  ZHANG Xifeng
Abstract:
Keywords:VHDL
本文献已被 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号