首页 | 本学科首页   官方微博 | 高级检索  
     

基于FPGA的温度控制器设计
引用本文:张辉,郭玉会. 基于FPGA的温度控制器设计[J]. 电子科技, 2012, 25(12): 62-65
作者姓名:张辉  郭玉会
作者单位:(1.保定供电公司 设计院,河北 保定 071051;2.华北电力大学 电子与通信工程系,河北 保定 071003)
摘    要:介绍了一种基于FPGA的温度控制系统设计。可编程器件FPGA和硬件描述语言VHDL的出现使得数字电路的设计周期缩短、难度减小。系统采用FPGA作为核心控制器件进行编程,设计采用模块化思路分别实现温度检测、键输入、温度显示和控制模块,再加以整合实现整个系统,达到了温度控制的目的。

关 键 词:温度控制  FPGA  VHDL  

FPGA-based Design of Temperature Controller
ZHANG Hui,GUO Yuhui. FPGA-based Design of Temperature Controller[J]. Electronic Science and Technology, 2012, 25(12): 62-65
Authors:ZHANG Hui  GUO Yuhui
Affiliation:(1.Design Institute,Baoding Power Supply Company,Baoding 071051,China;
2.Department of Department of Electronics and Communication Engineering,North China Electric Power University,Baoding 071003,China)
Abstract:This paper introduces a temperature control system design based on FPGA. The emergence of pro- grammable device (FPGA) makes digital circuit design fast and easy. The system adopts FPGA as the core controller for programming. A modular design is used to integrate four modules (temperature detection, keyboard input, tem- perature display and control) into one system to fulfill temperature control.
Keywords:temperature controller  FPGA  VHDL
本文献已被 CNKI 维普 万方数据 等数据库收录!
点击此处可从《电子科技》浏览原始摘要信息
点击此处可从《电子科技》下载全文
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号