首页 | 本学科首页   官方微博 | 高级检索  
     

用Verilog实现BCD码到余3码的转换
引用本文:邵东瑞,金迪.用Verilog实现BCD码到余3码的转换[J].微处理机,2008,29(3).
作者姓名:邵东瑞  金迪
作者单位:1. 东软派斯通医疗系统有限公司,沈阳,110179
2. 辽宁省医疗器械产品质量监督检验所,沈阳,110179
摘    要:BCD码和余3码是串行通讯中比较常见的两种编码方式,二者的转换在不同的通讯场合中也经常用到,文章主要介绍二者之间的关系并用Verilog语言实现了二者之间的转换。

关 键 词:BCD码  余3码  有限状态机  状态转移图

The Conversions Between BCD Codes and Excess-3 Codes with Verilog
SHAO Dong-rui,JIN Di.The Conversions Between BCD Codes and Excess-3 Codes with Verilog[J].Microprocessors,2008,29(3).
Authors:SHAO Dong-rui  JIN Di
Abstract:BCD codes and Excess-3 codes is two kinds of populated codes in serial data,The conversions of the two codes is very populated in serial communications.This paper gives a brief introduction of the conversions of the two with Verilog.
Keywords:BCD codes  Excess-3 codes  FSM(finite state machine)  STG(state transition graph)
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号