首页 | 本学科首页   官方微博 | 高级检索  
     

基于FPGA的数控振荡器的设计
引用本文:翁萍,王少云.基于FPGA的数控振荡器的设计[J].电子工程师,2010,36(9):54-57.
作者姓名:翁萍  王少云
作者单位:1. 南京航空航天大学信息科学与技术学院,江苏省南京市,210016
2. 南京航空航天大学无人机研究院,江苏省南京市,210016
摘    要:NCO(数控振荡器)的目标是产生频率可变的正弦波样本,NCO采用全数字技术,具有分辨率高、频率转换时间快、相位噪声低等特点,将其应用于电子设备中可以大大简化系统、降低成本。本文首先探讨了NCO的工作原理及其核心组成部件,其次介绍了在FPGA中设计NCO的两种方法——基于verilog硬件描述语言的实现方法和基于DSP Builder模型的实现方法,最后使用Modelsim进行仿真,仿真结果表明,此两种方法都能实现NCO,但利用DSP Builder搭建模型进行设计更为简单、易用,并且设计者甚至可以在不懂硬件描述语言及其设计流程的情况下进行DSP应用系统的FP-GA开发。

关 键 词:FPGA  数控振荡器  Matlab  DSP  Builder

Design of Numerically Controlled Oscillator Based on FPGA
WENG Ping,WANG Shaoyun.Design of Numerically Controlled Oscillator Based on FPGA[J].Electronic Engineer,2010,36(9):54-57.
Authors:WENG Ping  WANG Shaoyun
Affiliation:1. College of Information Science and Technology, Nanjing University of Aeronautics and Astronautics, Nanjing 210016,China;2. Research Institute of Unmanned Aircraft,Nanjing University of Aeronautics and Astronautics, Nanjing 210016, China)
Abstract:
Keywords:FPGA  Matlab  DSP Builder
本文献已被 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号