首页 | 本学科首页   官方微博 | 高级检索  
     

基于FPGA和VHDL的序列检测器设计
引用本文:李瑞,孙显龙,刘宝娟. 基于FPGA和VHDL的序列检测器设计[J]. 微处理机, 2012, 33(5): 4-6
作者姓名:李瑞  孙显龙  刘宝娟
作者单位:1. 中国电子科技集团公司第四十七研究所,沈阳,110032
2. 沈阳工业大学,沈阳,110178
摘    要:介绍了一种基于现场可编程门阵列(FPGA)的序列检测器设计方法。给出了XilinxISE集成开发软件的设计流程,应用VHDL硬件描述语言进行编程,能够较好地实现序列检测功能。

关 键 词:现场可编程门阵列  VHDL硬件描述语言  序列检测器

Design of Sequential Detector Based on FPGA and VHDL
LI Rui , SUN Xian-long , LIU Bao-juan. Design of Sequential Detector Based on FPGA and VHDL[J]. Microprocessors, 2012, 33(5): 4-6
Authors:LI Rui    SUN Xian-long    LIU Bao-juan
Affiliation:1.The 47th Research Institute of China Electronics Technology Group Corporation,Shenyang 110032,China; 2.Shenyang Univercity of Technology,Shenyang 110178,China)
Abstract:This paper introduces a method to design sequential detector based on field-programmable gate array(FPGA),and introduces the design flow of Xilinx ISE.The design can realize function of sequential detection by using VHDL programming.
Keywords:FPGA  VHDL  Sequential Detector
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号