首页 | 本学科首页   官方微博 | 高级检索  
     

DSP EMIF与FPGA双口RAM高速通信实现
引用本文:杨 龙,李范鸣,刘士建.DSP EMIF与FPGA双口RAM高速通信实现[J].现代电子技术,2014(13):10-12,16.
作者姓名:杨 龙  李范鸣  刘士建
作者单位:中国科学院上海技术物理研究所,上海200083
基金项目:国家863计划资助项目(2011AA7031002G);国家十二五国防预研项目(41101050501)
摘    要:现代电子技术的快速发展使得大量的数据需要处理与传输,为解决该问题,通过TMS320C6455的EMIF接口实现了DSP与FPGA之间的数据双向快速通信。FPGA通过EMIF接口将内部RAM中的数据传输给DSP进行处理,DSP将处理后的数据结果再通过EMIF接口传送到FPGA的片内接收模块双口RAM并进行存储。EMIF通道实现了对数据的传输,双口RAM完成了对数据的接收。实验结果表明,该设计方案能够实现数据的双向快速正确传输。

关 键 词:DSP  EMIF  FPGA  双口RAM

Realization of high-speed communication between DSP and FPGA by EMIF dual-port RAM
YANG Long,LI Fan-ming,LIU Shi-jian.Realization of high-speed communication between DSP and FPGA by EMIF dual-port RAM[J].Modern Electronic Technique,2014(13):10-12,16.
Authors:YANG Long  LI Fan-ming  LIU Shi-jian
Affiliation:(Shanghai Institute of Technical Physics, Chinese Academy of Sciences, Shanghai 200083, China)
Abstract:The rapid development of modern electronic technology requires the processing and transmission of plenty of da-ta. In order to solve this problem,TMS320C6455 EMIF interface was adopted to realized the rapid data intercommunication be-tween DSP and FPGA. FPGA transfers data in the internal RAM to DSP for processing through EMIF interface. DSP transmits the processed data through EMIF interface to dual-port RAM in FPGA to store. EMIF interface is used to achieve the data trans-mission,and dual-port RAM is used to realized the data reception. The experimental results show that the design scheme can realize data intercommunication quickly and correctly.
Keywords:DSP  EMIF  FPGA  dual-port RAM
本文献已被 CNKI 维普 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号