首页 | 本学科首页   官方微博 | 高级检索  
     

基于FPGA三相正弦信号发生器的设计
引用本文:王培,李涪帆.基于FPGA三相正弦信号发生器的设计[J].物联网技术,2014(4):61-62.
作者姓名:王培  李涪帆
作者单位:长安大学电子与控制工程学院,陕西西安710064
摘    要:波形平滑、频率稳定的正弦信号是仿真研究的重要前提。为了能够方便地产生此信号,文章提出了一种基于DDS技术的正弦信号发生器的设计方法。该方法利用FPGA芯片及D/A转换器,采用直接数字频率合成(DDS)技术,设计并实现了相位、频率可控的相位相差120°的三相正弦信号发生器。同时把在Matlab环境中用DSP Builder画的原理图转化为VHDL语言,然后通过信号分析在QuartusⅡ中模拟仿真,最终下载到FPGA试验箱,这样,接上示波器即可观察到三相正弦信号。文章给出了基于FPGA的三相正弦信号波形的设计方法,并经软件仿真测试验证及硬件测试,结果表明,该系统具有较高的精度和稳定性。

关 键 词:直接数字频率合成器  三相正弦信号  FPGA  DDS
本文献已被 CNKI 维普 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号