首页 | 本学科首页   官方微博 | 高级检索  
     

基于CPLD的点阵显示控制器的设计
作者姓名:冯景  刘笃仁
作者单位:西安电子科技大学电子工程学院710071
摘    要:介绍了点阵显示控制器的特点,着重阐述了基于CPLD点阵显示控制器的系统结构和主要技术原理。应用VHDL语言进行程序设计,通过ModelSim软件仿真,验证了设计的正确性。最后还介绍应用Lattice公司的MACH器件LC5768VG-10F256C实现点阵显示控制器,并讨论了系统的扩展和应用。

关 键 词:显示控制器  CPLD  点阵  Lattice公司  VHDL语言  器件  主要技术  im软件  程序设计  扩展
本文献已被 维普 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号