首页 | 本学科首页   官方微博 | 高级检索  
     


Capture-power-aware test data compression using selective encoding
Authors:Jia LiAuthor Vitae  Xiao LiuAuthor VitaeYubin ZhangAuthor Vitae  Yu HuAuthor VitaeXiaowei LiAuthor Vitae  Qiang XuAuthor Vitae
Affiliation:a School of Software, Tsinghua University, Beijing, China
b Department of Computer Science and Engineering, The Chinese University of Hong Kong, Shatin, N.T., Hong Kong
c Institute of Computing Technology, Chinese Academy of Sciences, Beijing, China
d CAS/CUHK Shenzhen Institute of Advanced Technology, Shenzhen, China
Abstract:Ever-increasing test data volume and excessive test power are two of the main concerns of VLSI testing. The “don’t-care” bits (also known as X-bits) in given test cube can be exploited for test data compression and/or test power reduction, and these techniques may contradict to each other because the very same X-bits are likely to be used for different optimization objectives. This paper proposes a capture-power-aware test compression scheme that is able to keep capture-power under a safe limit with low test compression ratio loss. Experimental results on benchmark circuits validate the effectiveness of the proposed solution.
Keywords:Test compression  Low-power testing  Scan-based testing
本文献已被 ScienceDirect 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号