首页 | 本学科首页   官方微博 | 高级检索  
     

CCD热工艺过程的硅片翘曲优化研究
引用本文:吴可,邓涛,雷仁方,向鹏飞.CCD热工艺过程的硅片翘曲优化研究[J].半导体光电,2012,33(1):70-73.
作者姓名:吴可  邓涛  雷仁方  向鹏飞
作者单位:重庆光电技术研究所,重庆,400060;重庆光电技术研究所,重庆,400060;重庆光电技术研究所,重庆,400060;重庆光电技术研究所,重庆,400060
摘    要:针对光刻线宽均匀性控制问题,研究了硅片翘曲度对光刻线宽均匀性的影响。采用翘曲度测试仪研究热工艺过程中硅片翘曲度的变化。研究结果表明,硅片翘曲度对线宽均匀性产生重要的影响,翘曲度大,则线宽均匀性降低。通过优化栅氧化工艺的升降温速率、进出炉速率,使硅片翘曲度降低,线宽非均匀性由±4%降低到±2%。

关 键 词:CCD  热工艺  翘曲度  光刻条宽

Study on Optimization of Silicon Warp in CCD Heat Processing
WU Ke,DENG Tao,LEI Renfang,XIANG Pengfei.Study on Optimization of Silicon Warp in CCD Heat Processing[J].Semiconductor Optoelectronics,2012,33(1):70-73.
Authors:WU Ke  DENG Tao  LEI Renfang  XIANG Pengfei
Affiliation:(Chongqing Optoelectronics Research Institute,Chongqing 400060,CHN)
Abstract:The effects of silicon warp on lithography critical dimension uniformity were studied by analyzing the variety of silicon warp in the heat processing by surface metrology.The result indicates the silicon warp has great effects on the uniformity of lithography critical dimension,that is,bigger warp brings lower uniformity.The silicon warp is reduced by optimizing gate oxide processing,the speed of tube heating and cooling process and the speed of tube get-in and get-out.The critical dimension uniformity is reduced from ±4% to ±2%.
Keywords:CCD  heat processing  warp  lithography critical dimension
本文献已被 CNKI 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号