首页 | 本学科首页   官方微博 | 高级检索  
     

基于FPGA的祖冲之算法研究与实现
引用本文:李歌,陶琳,高献伟. 基于FPGA的祖冲之算法研究与实现[J]. 北京电子科技学院学报, 2012, 20(4): 13-18
作者姓名:李歌  陶琳  高献伟
作者单位:西安电子科技大学,西安710071 北京电子科技学院,北京100070;北京电子科技学院,北京,100070
基金项目:北京市教育教号改革项目,北京电子科技学院教研基金项目
摘    要:ZUC算法是我国自主设计的一个面向字的流密码,是运用于下一代移动通信4G网络中的国际标准密码算法。它采用128位的初始密钥和一个128位的初始向量作为输入,生成32位关于字的密钥流。密钥流可用于对信息进行加解密。本文主要介绍了ZUC算法并用硬件描述语言VHDL进行了硬件实现,在QuartusⅡ开发环境上的仿真结果与中科院发布的测试文件中的数据一致,从而证明了实现结果的正确性。

关 键 词:ZUC算法  VHDL  硬件实现

Research and Realization of ZUC Algorithm Based on FPGA
LI Ge,TAO Lin,GAO Xian-wei. Research and Realization of ZUC Algorithm Based on FPGA[J]. Journal of Beijing Electronic Science & Technology Institute, 2012, 20(4): 13-18
Authors:LI Ge  TAO Lin  GAO Xian-wei
Affiliation:1. Xidian University,Xi'an Shanxi 710071,China2. Beijing Electronic Science and Technology Institute, Beijing 100070,China)
Abstract:
Keywords:ZUC algorithm  VHDL  hardware implementation
本文献已被 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号