首页 | 本学科首页   官方微博 | 高级检索  
     

布线后修复时序违规的方法研究
引用本文:曾宏.布线后修复时序违规的方法研究[J].中国集成电路,2010,19(4):29-32,37.
作者姓名:曾宏
作者单位:芯原微电子(上海)有限公司,上海,201204
摘    要:90/65nm下后端设计中由于多模式一角落,以及布局布线工具和签收工具之间的误差性,布线后修复各种时序违规如渡越时间、负载、建立时间、保持时间、串扰等将是一项十分耗时的工作。如何快速修复各种违规,取得设计收敛是后端设计者所关注的。本文分析了各种情况,提供了一些解决方案。

关 键 词:90/65nm  渡越时间  负载  建立时间  保持时间  串扰噪声  多模式-多角落  签收

Methodology research on fixing timing violations during post-route
ZENG Hong.Methodology research on fixing timing violations during post-route[J].China Integrated Circuit,2010,19(4):29-32,37.
Authors:ZENG Hong
Affiliation:ZENG Hong (Verisilicon Microelectronics (Shanghai) Co., Ltd, Shanghai 201204, China)
Abstract:In IC backend design under 90/65nm, due to multi- mode multi- corner as well as correlation problems between place & route tools and signoff STA tools, the fixing timing violations such as slew/load, setup/hold, crosstalk during postroute stage remains a nontrivial and tedious work. Backend designer trys to remove these violations to achieve timing closure faster. In this paper, all the problems have been analyzed, together with corresponding solutions for your reference.
Keywords:90/65nm  slew/load violation  setup time  hold time  crosstalk  multi- corner multi- mode  signoff  
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号