首页 | 本学科首页   官方微博 | 高级检索  
     

CORDIC算法在FPGA中的实现
引用本文:王智霞,王广生.CORDIC算法在FPGA中的实现[J].微处理机,2007,28(1):4-7.
作者姓名:王智霞  王广生
作者单位:北京工业大学电控学院,北京,100022
摘    要:CORDIC算法是在许多角度计算方面有着广泛应用的经典算法,通过考虑FPGA的结构、精度局限和速度要求,采用流水线技术(pipeline),在FPGA上用CORD IC算法实现了对于大吞吐量数据的向量倾角的计算,并对实际应用中内部步骤寄存器精度的选取给出了较为详细的方法。

关 键 词:坐标旋转数字计算  流水线
文章编号:1002-2279(2007)01-0004-04
修稿时间:2005-02-23

FPGA Based Realization of CORDIC Algorithm
WANG Zhi-xia,WANG Guang-sheng.FPGA Based Realization of CORDIC Algorithm[J].Microprocessors,2007,28(1):4-7.
Authors:WANG Zhi-xia  WANG Guang-sheng
Affiliation:Beijing university of technology,Beijing 100022, China
Abstract:CORDIC algorithm is a classic algorithm with many applications.Considering the architecture,precision and speed of FPGA,the pipeline technology is used in computing large number of vector angle values.This article provides the way of confirm the wide of inner processing register.
Keywords:FPGA
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号