首页 | 本学科首页   官方微博 | 高级检索  
     

基于FPGA的串行通信控制系统的设计
引用本文:王媛媛,刘树林,刘宁庄,童军. 基于FPGA的串行通信控制系统的设计[J]. 微型机与应用, 2011, 30(5): 57-59,63
作者姓名:王媛媛  刘树林  刘宁庄  童军
作者单位:西安科技大学,电气与控制工程学院,陕西,西安,710054
摘    要:在Altera Cyclone Ⅱ平台上采用"自顶向下"的模块化设计思想及VHDL硬件描述语言,设计了串行通信控制系统。在Quartus II软件上编译、仿真后下载到FPGA芯片EP2C5Q208上,进行在线编程调试,实现了串行通信控制功能。基于FPGA的系统设计调试维护方便、可靠性高,而且设计具有灵活性,可以方便地进行扩展和移植。

关 键 词:FPGA  通信控制系统  模块化  VHDL

Design of serial communication control system based on FPGA
Wang Yuanyuan,Liu Shulin,Liu Ningzhuang,Tong Jun. Design of serial communication control system based on FPGA[J]. Microcomputer & its Applications, 2011, 30(5): 57-59,63
Authors:Wang Yuanyuan  Liu Shulin  Liu Ningzhuang  Tong Jun
Affiliation:(College of Electrical and Control Engineering,Xi′an University of Science and Technology,Xi′an 710054,China)
Abstract:The design is based on Cyclone Ⅱ of Altera company. It is a serial communication control system designed by "top to down" modularization idea and VHDL programming language. After using the software of Quartus II to compile and simulate,the design is downloaded to FPGA chip——EP2C5Q208 and programmed, debugged on line. The system implemented serial communication. Design of system based on FPGA is easy to debug, and has highly reliability and agility. It is easy to expand and replant.
Keywords:FPGA  communication control system  modularization  VHDL  
本文献已被 CNKI 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号