首页 | 本学科首页   官方微博 | 高级检索  
     

用VHDL语言在CPLD上实现串行通信
引用本文:张鑫.用VHDL语言在CPLD上实现串行通信[J].今日电子,2004(2):27-28.
作者姓名:张鑫
作者单位:中国矿业大学信息与电气工程学院
摘    要:串行通信是实现远程测控的重要手段。采用VHDL语言在CPLD上实现了串行通信,完全可以脱离单片机使用。

关 键 词:VHDL语言  CPLD  串行通信  异步通信  发送器

The design of serial communication based on CPLD with VHDL
Abstract:
Keywords:
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号