首页 | 本学科首页   官方微博 | 高级检索  
     

基于CORDIC算法的三相SPWM发生器设计
引用本文:张洁,谌海云,胡启超,钟剑,师光辉,杨仕勇. 基于CORDIC算法的三相SPWM发生器设计[J]. 现代电子技术, 2015, 0(6): 159-162
作者姓名:张洁  谌海云  胡启超  钟剑  师光辉  杨仕勇
作者单位:西南石油大学机电工程学院;西南石油大学电气信息学院;中国石油集团测井有限公司技术中心
基金项目:国家自然科学基金资助项目(61175122)
摘    要:采用SPWM的三相逆变技术在调速传动、电源转换和电力电子控制领域均有着广泛的应用,为提高三相SPWM产生的效率和精度,在此设计了一种不对称规则采样的三相SPWM发生器。调制正弦信号采用改进型迭代算法CORDIC产生,相对于查表法,无需占用大量的存储器空间,利用等腰三角波与调制正弦波相比较的不规则采样,得到SPWM的输出信号,并于QuartusⅡ编译环境下采用Verilog HDL硬件描述语言实现模块化编程设计,进行波形仿真验证了该设计的高效性和高精度性。该设计适用于高精度的三相逆变系统,也可与其他模块相结合应用于各种三相电机的正弦驱动等场合。

关 键 词:CORDIC  SPWM  三相逆变  FPGA  Verilog

Design of three-phase SPWM generator based on CORDIC algorithm
ZHANG Jie;SHEN Hai-yun;HU Qi-chao;ZHONG Jian;SHI Guang-hui;YANG Shi-yong. Design of three-phase SPWM generator based on CORDIC algorithm[J]. Modern Electronic Technique, 2015, 0(6): 159-162
Authors:ZHANG Jie  SHEN Hai-yun  HU Qi-chao  ZHONG Jian  SHI Guang-hui  YANG Shi-yong
Affiliation:ZHANG Jie;SHEN Hai-yun;HU Qi-chao;ZHONG Jian;SHI Guang-hui;YANG Shi-yong;School of Mechanical and Electrical Engineering,Southwest Petroleum University;School of Electronic and Information,Southwest Petroleum University;Technical Centre,Logging Co.,Ltd.,China National Petroleum Corporation;
Abstract:
Keywords:CORDIC  SPWM  三相逆变  FPGA  Verilog
本文献已被 CNKI 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号