首页 | 本学科首页   官方微博 | 高级检索  
     

基于占用率的体系结构脆弱因子在线计算方法
引用本文:潘送军 陈传鹏. 基于占用率的体系结构脆弱因子在线计算方法[J]. 计算机工程与科学, 2014, 36(5): 779-785
作者姓名:潘送军 陈传鹏
基金项目:国家973计划资助项目(2011CB302503)
摘    要:随着CMOS工艺的不断进步,单个芯片上集成的晶体管数目快速增长,使得由高能粒子和α粒子辐射产生的软错误逐渐成为影响微处理器可靠性的重要因素。通过计算体系结构脆弱因子,量化分析软错误对微处理器中不同结构的影响,已成为指导微处理器容错设计、提高系统可靠性的重要方法。体系结构脆弱因子在线计算方法,利用体系结构脆弱因子随应用程序运行而变化的特点,指导动态选择容错技术,实现可靠性与性能之间的平衡。在对已有方法分析的基础上,提出一种基于占用率的体系结构脆弱因子在线计算方法,并在Sim Alpha模拟器上进行验证。通过运行SPEC CPU2000基准测试程序,计算发射队列、重命名缓冲和存取队列的体系结构脆弱因子。实验结果表明,该方法能有效计算微处理器中不同结构的体系结构脆弱因子,与离线计算方法相比,发射队列、重排序缓存和存取队列三个体系结构脆弱因子的平均绝对误差仅为0.10、0.01和0.039。

关 键 词:微处理器  可靠性  软错误  容错  体系结构脆弱因子  
收稿时间:2012-09-24
修稿时间:2014-05-25

An occupancy-based online architecture vulnerability factor computing method
PAN Song jun,CHEN Chuan peng. An occupancy-based online architecture vulnerability factor computing method[J]. Computer Engineering & Science, 2014, 36(5): 779-785
Authors:PAN Song jun  CHEN Chuan peng
Affiliation:(1.Information & Communication Company,State Grid Hunan Electric Power Company,Changsha 410007;2.Institute of Computing Technology,Chinese Academy of Sciences,Beijing 100190,China)
Abstract:As CMOS technology scales into the nanometer era, more transistors can be integrated in a single chip, which makes microprocessors become more vulnerable to high energy particle induced soft errors. Quantitatively analysis of the Architectural Vulnerability Factor (AVF) of different structures is an important method to guide the microprocessor reliable design. Online AVF computing techniques utilize the features that AVF varies significantly across different workloads and individual structures, and then make a tradeoff between system reliability and performance. The paper proposes an occupancy based online AVF computing method, and evaluates it with a cycle accurate simulator Sim Alpha. Experimental results on SPEC CPU2000 integer benchmark suite show that the proposed method can compute AVF efficiently for different structures. Compared with an offline method, the averaged absolute errors of AVF for issue queue, reorder buffer, and load/store queue are 0.10, 0.01, and 0.039 respectively.
Keywords:microprocessor  reliability  soft error  fault tolerance  architecture vulnerability factor,
本文献已被 CNKI 等数据库收录!
点击此处可从《计算机工程与科学》浏览原始摘要信息
点击此处可从《计算机工程与科学》下载全文
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号