首页 | 本学科首页   官方微博 | 高级检索  
     

基于VHDL语言的单片机设计
引用本文:朱枫. 基于VHDL语言的单片机设计[J]. 电子测试, 2019, 0(12): 19-20
作者姓名:朱枫
作者单位:江苏省金坛中等专业学校,江苏常州,213200
摘    要:随着集成电路的飞速发展,电子自动化设计理念逐渐成为我国相关行业领域中不可或缺的内容,自动化发展成为电子领域发展的主流趋势,而VHDL语言是电子系统内的基础元素。文章先分析了VHDL语言与单片机,随后介绍了VHDL语言对于单片机设计的重要意义,最后介绍了利用VHDL语言进行单片机设计,包括定时器设计、UART串口设计、CPU模块设计、数据转换器,希望能给相关人士提供有效参考。

关 键 词:VHDL语言  单片机  CPU模块

Design of Single Chip Microcomputer Based on VHDL Language
Zhu Feng. Design of Single Chip Microcomputer Based on VHDL Language[J]. Electronic Test, 2019, 0(12): 19-20
Authors:Zhu Feng
Affiliation:(Jiangsu Jintan Secondary Professional School,Changzhou Jiangsu,213200)
Abstract:With the rapid development of integrated circuits, the concept of electronic automation design has gradually become an indispensable part of the relevant industries in China. Automation has become the mainstream trend of the development of electronic field, and VHDL language is the basic element of electronic system. This paper first analyses VHDL language and MCU, then introduces the importance of VHDL language for MCU design, and finally introduces the design of MCU using VHDL language, including timer design, UART serial port design, CPU module design, data converter, hoping to provide effective reference for relevant people.
Keywords:VHDL language  MCU  CPU module
本文献已被 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号