首页 | 本学科首页   官方微博 | 高级检索  
     

基于FPGA的线性调频信号产生器设计
引用本文:徐春香,刘军.基于FPGA的线性调频信号产生器设计[J].电子测试,2009(10):49-52,72.
作者姓名:徐春香  刘军
作者单位:1. 海军航空工程学院电子工程系,山东烟台,264001
2. 92212部队,山东青岛,266001
摘    要:线性调频信号是雷达系统广泛应用的一种信号,通过脉冲压缩处理,可以得到良好的距离分辨率和径向速度分辨率。本文在研究DDS原理的基础上,给出了一种基于FPGA技术的线性调频信号产生器的设计方案,并利用Ahera公司的cyclone Ⅱ系列芯片和QuartusⅡ开发软件对设计进行了仿真验证。采用FPGA技术可以方便地通过修改编程参数,对线性调频信号的起始频率、带宽、频率分辨率进行修改。仿真结果表明,该设计能够产生符合要求的线性调频信号,并且具有结构简单、集成度高、易于修改等特点。

关 键 词:FPGA  线性调频  DDS

Design of LPM signal generator based on FPGA
Abstract:
Keywords:FPGA  DDS
本文献已被 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号