首页 | 本学科首页   官方微博 | 高级检索  
     

VHDL设计中信号与变量问题的探讨
作者姓名:冯艳娜
作者单位:北京联合大学师范学院电子信息系
摘    要:本文从应用的角度举例说明了VHDL设计中信号与变量的区别,以及正确的使用方法,并介绍了为信号或变量赋予初始值的技巧。

关 键 词:VHDL语言 程序设计 信号 变量 集成电路 进程语句 函数语句
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号