首页 | 本学科首页   官方微博 | 高级检索  
     

基于FPGA的IIC总线接口实现方法
引用本文:王前,吴淑泉,刘喜英.基于FPGA的IIC总线接口实现方法[J].微电子技术,2002,30(3):21-23.
作者姓名:王前  吴淑泉  刘喜英
作者单位:华南理工大学电子与信息学院,广州,510640
摘    要:本文简述了IIC总线协议 ,重点介绍了基于现场可编程门阵列 (FPGA)的IIC总线接口的系统结构及实现方法

关 键 词:IIC总线  FPGA  VHDL
文章编号:1008-0147(2002)03-21-04
修稿时间:2001年11月30

Implementation of IIC Bus Based on FPGA Technology
WANG Qian,WU Shu quan,LIU Xi ying.Implementation of IIC Bus Based on FPGA Technology[J].Microelectronic Technology,2002,30(3):21-23.
Authors:WANG Qian  WU Shu quan  LIU Xi ying
Abstract:In this paper,IIC Bus Protocol is briefly introduced and a method to implement the IIC Businterface using FPGA is emphatically proposed.
Keywords:IIC Bus  FPGA  VHDL  
本文献已被 CNKI 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号