首页 | 本学科首页   官方微博 | 高级检索  
     

FPGA在峰值检测中的应用
引用本文:廖祖禹,黄建国,戴志坚.FPGA在峰值检测中的应用[J].测控技术,2010,29(1):10-12.
作者姓名:廖祖禹  黄建国  戴志坚
作者单位:电子科技大学自动化学院,四川,成都,611731;电子科技大学自动化学院,四川,成都,611731;电子科技大学自动化学院,四川,成都,611731
摘    要:峰值检测是数字存储示波器的重要技术之一,用来实现波形毛刺的捕捉,包络显示和限制混叠3个功能。用VHDL语言编程设计了峰值检测模块,采用流水线思想,实现了峰值检测功能。该模块在实际项目中得到验证,可以捕获20 ns以上的毛刺信号。创新点在于采用FPGA作为实现示波表峰值检测模块的器件,目的在于提高峰值检测的速度,减轻MCU的负担,改进了峰值检测的指标。

关 键 词:示波表  峰值检测  FPGA  VHDL

Application of FPGA in Peak Detect
LIAO Zu-yu,HUANG Jian-guo,DAI Zhi-jian.Application of FPGA in Peak Detect[J].Measurement & Control Technology,2010,29(1):10-12.
Authors:LIAO Zu-yu  HUANG Jian-guo  DAI Zhi-jian
Affiliation:School of Automation;University of Electronic Science and Technology of China;Chengdu 611731;China
Abstract:Peak detect is one of the important technique of the digital storage oscilloscope.So it's widely used in deburring waveform capture,displaying envelope and aliasing limit.VHDL language is used to program into FPGA to design the block of peak detect.Based on the way of pipeline,the peak detection is achieved.The module has been verified in actual project,it can catch more than 20 ns glitch signal.Innovation of this design is that FPGA is used as the device of realizing peak detect to increase speed of peak d...
Keywords:scopemeter  peak detect  FPGA  VHDL  
本文献已被 CNKI 万方数据 等数据库收录!
点击此处可从《测控技术》浏览原始摘要信息
点击此处可从《测控技术》下载全文
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号