首页 | 本学科首页   官方微博 | 高级检索  
     


An Automotive CD-Player Electro-Mechanics Fault Simulation Using VHDL-AMS
Authors:Mariagrazia Graziano  Massimo Ruo Roch
Affiliation:(1) VLSI Lab, Electronics Department, Politecnico di Torino, Turin, Italy
Abstract:One of the most challenging tasks while designing an automotive electronic device is to take into account its whole electro-mechanic environment, so that time expensive failure tests can be reduced. We show a case study, developed in collaboration with an industrial partner, in which an automotive compact disk (CD) player is modelled. Using VHDL-AMS, not only its whole mixed-signal electro-mechanic structure, but the car one, the road profile and the audio input have been described as well. A set of fault analyses have been performed, too, using the developed model. Results demonstrate the feasibility of the methodology both as a substitute of traditional fault analyses, and as a method to inspect the failure causes. Besides, this approach allows to highlight, during the design phase, the key points to optimize the target device, taking into account its real application environment. As an example, our system is able to reliably predict reading errors due to road profile variations.
Contact Information Massimo Ruo RochEmail:
Keywords:Fault simulation  Automotive electro-mechanical test  VHDL-AMS
本文献已被 SpringerLink 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号