首页 | 本学科首页   官方微博 | 高级检索  
     

基于FPGA的语音信号采集回放存储系统的设计
引用本文:路娜,赵建平,胡海凤,张慧霞.基于FPGA的语音信号采集回放存储系统的设计[J].通信技术,2013(9).
作者姓名:路娜  赵建平  胡海凤  张慧霞
作者单位:曲阜师范大学 物理工程学院,山东 曲阜,273165
基金项目:国家自然科学基金项目(批准号60976015);山东省自然科学基金项目,信息功能材料国家重点实验室开题资助。
摘    要:系统构建了基于FPGA的语音信号采集存储系统,详细论述了I2C总线控制器,AUD_RAM,驱动器, WM8731各个模块的设计方法及功能,并给出了核心代码还有其控制流程。音频编解码芯片WM8731由于其具有高性能、低功耗等优点,在音频产品中得到广泛用。在QuartusⅡ9.0中完成设计,并在modelsim中完成仿真,实验结果表明,该系统能够可靠完成对人的语音信号的采集、回放与存储,回放时在输出端会听到清晰语音。

关 键 词:语音信号  现场可编程门阵列  WM8731  I2C

Design of Speech Signal Acquisition-Playback-Storage System based on FPGA
LU Na , ZHAO Jian-ping , HU Hai-feng , ZHANG Hui-xia.Design of Speech Signal Acquisition-Playback-Storage System based on FPGA[J].Communications Technology,2013(9).
Authors:LU Na  ZHAO Jian-ping  HU Hai-feng  ZHANG Hui-xia
Abstract:
Keywords:speech signal  FPGA  WM8731  I2C
本文献已被 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号