首页 | 本学科首页   官方微博 | 高级检索  
     

基于FPGA+VHDL的温度控制系统设计
引用本文:李健,李正平,徐超,陈军宁. 基于FPGA+VHDL的温度控制系统设计[J]. 电子技术, 2008, 45(9)
作者姓名:李健  李正平  徐超  陈军宁
摘    要:温度检测和控制系统在实际中有着广泛的应用,如温室的温度控制等.本文介绍了一种基于FPGA VHDL的温度控制系统设计.可编程器件(CPLD/FPGA)和硬件描述语言VHDL的出现使得数字电路的设计周期缩短、难度减小.系统采用FPGA作为核心控制器件和VHDL进行编程,设计采用模块化思路:分别实现各个模块(包括温度检测、键盘输入、温度显示和控制),再加以整合实现整个系统,达到了温度控制的目的.

关 键 词:温度控制  模块设计

A Temperature Control System Design Based on FPGA+VHDL
Li Jian,Li Zhengpin,Xu Chao,Chen Junning. A Temperature Control System Design Based on FPGA+VHDL[J]. Electronic Technology, 2008, 45(9)
Authors:Li Jian  Li Zhengpin  Xu Chao  Chen Junning
Abstract:
Keywords:FPGA  VHDL
本文献已被 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号