首页 | 本学科首页   官方微博 | 高级检索  
     

基于Aurora协议的高速通信技术的研究
作者单位:;1.南京信息工程大学电子与信息工程学院;2.南京船舶雷达研究所
摘    要:介绍了基于模块化方法在FPGA上实现高速通信的设计方案。系统在Aurora协议下采用高速串行收发器Rocket I/O,解决了不同端口收发时钟补偿带来的数据丢失问题,并结合SFP光模块对高速AD采样信号进行有效的传输。实验证明了方案的可行性,其对提高雷达信号处理带宽、改进雷达的探测性能具有较大的意义。

关 键 词:FPGA  Aurora  IP  时钟补偿  超高速AD

Aurora-based protocol high-speed communication technology research
Abstract:
Keywords:
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号