首页 | 本学科首页   官方微博 | 高级检索  
     

基于FPGA的MFSK调制电路设计与仿真
引用本文:杨大柱.基于FPGA的MFSK调制电路设计与仿真[J].微计算机信息,2007,23(11):219-220.
作者姓名:杨大柱
作者单位:233011,蚌埠解放军汽车管理学院电工电子教研室
摘    要:数字调制解调技术在数字通信中占有非常重要的地位,数字通信技术与FPGA的结合是现代通信系统发展的一个必然趋势。文中介绍了MFSK调制解调的原理,并基于FPGA实现了MFSK调制电路,仿真结果表明了该设计的正确性。

关 键 词:调制  解调
文章编号:1008-0570(2007)04-2-0219-02
修稿时间:2007-01-23

Design and Simulation of MFSK Modulation Circuit Based on FPGA
YANG DAZHU.Design and Simulation of MFSK Modulation Circuit Based on FPGA[J].Control & Automation,2007,23(11):219-220.
Authors:YANG DAZHU
Abstract:Technology of digital modulation and demodulation plays a important role in digital communication system,the combination of digital communication technology and FPGA is a certainly trend .The paper introduces the principle of MFSK modulation and demodulation,the modulation circuit are also be realized based on FPGA. The simulation result provides the correction of the design.
Keywords:MFSK  FPGA
本文献已被 CNKI 维普 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号