首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 328 毫秒
1.
One of the major GaN processing challenges is useful pattern transfer. Serious photoresist mask erosion and hardening are often observed in reactive ion etching of GaN. Fine pattern transfer to GaN films using photoresist masks and complete removal of remaining photoresist after etching are very difficult. By replacing the etch mask from conventional photoresist to a sputtered iron nitride (Fe-8% N) film, which is easily patterned by wet chemical etching and is very resistive to Cl based plasmas, GaN films can be finely patterned with vertical etched sidewalls. Successful pattern transfer is realized by reactive ion etching using Cl (H) containing plasmas. CHF3/Ar, C2ClF5/Ar, C2ClF5/Ar/O2, SiCl4, and CHCl3 plasmas were used to etch GaN. The GaN etch rate is dependent on the crystalline quality of GaN. Higher crystalline quality GaN films exhibit slower etch rates than GaN films with higher dislocation and stacking fault density.  相似文献   

2.
SiCl4-based reactive ion etching (RIE) is used to etch MgxZn1−xO (0≤x≤0.3) films grown on r-plane sapphire substrates. The RIE etch rates are investigated as a function of Mg composition, RIE power, and chamber pressure. SiO2 is used as the etching mask to achieve a good etching profile. In comparison with wet chemical etching, the in-plane etching anisotropy of MgxZn1−xO (0≤x≤0.3) films is reduced in RIE. X-ray photoelectron spectroscopy measurements show that there is no Si and Cl contamination detected at the etched surface under the current RIE conditions. The influence of the RIE to the optical properties has been investigated.  相似文献   

3.
对使用CF4/Ar 混合气体刻蚀Al1.3Sb3Te的特性进行了研究。实验控制的参数是:气体流入刻蚀腔的速率,CF4/Ar 比例,O2的加入量,腔内压强以及加在底电极上的入射射频功率。总的气体流量是50sccm ,研究刻蚀速率与CF4/Ar的比例,O2加入量,腔内压强和入射射频功率的关系。最后刻蚀参数被优化。 使用优化的刻蚀参数CF4的浓度4%,功率300W,压强800mTorr,刻蚀速率达到70.8nm/min,刻蚀表面平整  相似文献   

4.
High-density plasma etching has been an effective patterning technique for the group-III nitrides due to ion fluxes which are 2–4 orders of magnitude higher than more conventional reactive ion etch (RIE) systems. GaN etch rates exceeding 0.68 μm/min have been reported in Cl2/H2/Ar inductively coupled plasmas (ICP) at −280 V dc-bias. Under these conditions, the etch mechanism is dominated by ion bombardment energies which can induce damage and minimize etch selectivity. High selectivity etch processes are often necessary for heterostructure devices which are becoming more prominent as growth techniques improve. In this study, we will report high-density ICP etch rates and selectivities for GaN, AlN, and InN as a function of plasma chemistry, cathode rf-power, ICP-source power, and chamber pressure. GaN:AlN selectivities >8:1 were observed in a Cl2/Ar plasma at 10 mTorr pressure, 500 W ICP-source power, and 130 W cathode rf-power, while the GaN:InN selectivity was optimized at 6.5:1 at 5 mTorr, 500 W ICP-source power, and 130 W cathode rf-power.  相似文献   

5.
Reactive ion etching (RIE) was performed on GaN and BN thin films using chlorine-based plasmas. The optimum chemistry was found to be BCl3/Cl2/N2/Ar and Cl2/Ar at 30 and 40 mtorr for GaN and BN etching, respectively. X-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES) analysis of the GaN and BN etched surfaces show a decrease in the surface nitrogen atomic composition and an increase in chlorine impurity incorporation with increasing self-dc bias. A photo-assisted RIE (PA-PIE) process using an IR filtered Xe lamp beam was then used and resulted in improved etch rates and surface composition. Optical emission spectroscopy (OES) measurements have also shown photoenhancement of the etch process.  相似文献   

6.
An investigation of the Ba2Ti9O20 (BTO) and Pt thin films etch mechanism in the Cl2/Ar inductively coupled plasma was carried out. It was found that an increase in Ar mixing ratio at fixed gas pressure and input power causes a fast decrease in the BTO etch rate (26.9-1.2 nm/min for 0-100% Ar) while the Pt etch rate increases slightly from 17.4-23.0 nm/min. Langmuir probe diagnostics and zero-dimensional plasma modeling provided the data on plasma parameters, steady-state composition and fluxes of active species on the etched surface. From the model-based analysis of etch kinetics, it was shown that the behavior of the BTO etch rate corresponds to the reaction-rate-limited etch regime, where the etch rate is limited neither by physical sputtering of the main material nor by the ion-stimulated desorption of low-volatile reaction products. The etch process of Pt appears in the transitional regime and is controlled by the neutral and ion fluxes together.  相似文献   

7.
Fabrication of group-III nitride devices relies on the ability to pattern features to depths ranging from 1000 Å to >5 μm with anisotropic profiles, smooth morphologies, selective etching of one material over another and a low degree of plasma-induced damage. In this study, GaN etch rates and etch profiles are compared using reactive ion etch (RIE), reactive ion beam etching (RIBE), electron cyclotron resonance (ECR) and inductively coupled plasma (ICP) etch systems. RIE yielded the slowest etch rates and sloped etch profiles despite dc-biases >−900 V. ECR and ICP etching yielded the highest rates with anisotropic profiles due to their high plasma flux and the ability to control ion energies independently of plasma density. RIBE etch results also showed anisotropic profiles but with slower etch rates than either ECR or ICP possibly due to lower ion flux. InN and AlN etch characteristics are also compared using ICP and RIBE.  相似文献   

8.
A key technology required for fabricating single and multi-band mesa photodiodes with pixel sizes less than or equal to 25 μm is the development of an anisotropic etch process for HgCdTe. The primary approach investigated for this purpose has been electron cyclotron resonance (ECR) dry etching. This paper reviews an experiment used to optimize the ECR etch process at Lockheed Martin IR Imaging Systems, Inc. and then the use of the process to produce state-of-the-art LW photodiodes. In this work, a Ar:H plasma was used in a Plasma Therm series 700 ECR plasma etcher. Reactor variables were optimized by a designed experiment against the following response parameters: anisotropy, etch uniformity and “damage,” as measured by the photodiode zero bias and reverses bias impedance characteristics. The critical process variables of Ar:H gas pressure, lower magnet current, and electrode height were all optimized. The optimized process parameters were then utilized to fabricate arrays with 80K cut-off wavelengths in excess of 11 μm, R0As of 29 Ω-cm2, Rd20mV/Rd0mV>13 and quantum efficiency>71%.  相似文献   

9.
We investigated GaN films etched by using reactive ion etching (RIE) technique to fabricate the GaN-based devices. The samples were grown on sapphire substrate by metal organic chemical vapor deposition (MOCVD), and Ti/Al contacts were formed on n-GaN surfaces after etching processes. The effects of the kinds of reactive gases were evaluated by secondary ion mass spectrometry (SIMS). The results showed that in the sample etched using BCl3 gas, the signal from boron contaminations was strongly detected at the interface between the contact metal and n-GaN, and we found that additional etching in Cl2 plasma after etching with BCl3 gas was essential to make a good contact.  相似文献   

10.
Phase change random access memory(PCRAM) is one of the best candidates for next generation nonvolatile memory,and phase change Si2Sb2Te5 material is expected to be a promising material for PCRAM.In the fabrication of phase change random access memories,the etching process is a critical step.In this paper,the etching characteristics of Si2Sb2Te5 films were studied with a CF4/Ar gas mixture using a reactive ion etching system.We observed a monotonic decrease in etch rate with decreasing CF4 concentration,meanwhile,Ar concentration went up and smoother etched surfaces were obtained.It proves that CF4 determines the etch rate while Ar plays an important role in defining the smoothness of the etched surface and sidewall edge acuity.Compared with Ge2Sb2Te5, it is found that Si2Sb2Te5 has a greater etch rate.Etching characteristics of Si2Sb2Te5 as a function of power and pressure were also studied.The smoothest surfaces and most vertical sidewalls were achieved using a CF4/Ar gas mixture ratio of 10/40,a background pressure of 40 mTorr,and power of 200 W.  相似文献   

11.
Etching of Ge2Sb2Te5 (GST) is a critical step in the fabrication of chalcogenide random access memories. In this paper, the etch characteristics of GST films were studied with a CF4/Ar gas mixture using a reactive-ion etching system. We observed a monotonic decrease in etch rate with decreasing CF4 concentration indicating its importance in defining the material removal rate. Argon, on the other hand, plays an important role in defining the smoothness of the etched surface and sidewall edge acuity. We have studied the importance of gas mixture and RF power on the quality of the etched film. The smoothest surfaces and most vertical sidewalls were achieved using a CF4/Ar gas mixture ratio of 10/40, a background pressure of 80 mTorr, and power of 200 W.  相似文献   

12.
II–VI compounds have attracted increasing attention, primarily because of the large range of energy band gaps available. ECR plasma etching of CdTe in a CCl2F2/Ar discharge with rf biasing were investigated at different temperature and different flow rate ratio. The etch rate increases with the increase in flow rate of reactive gas and temperature. The use of ECR conditions with additional rf biasing provides the good etching of the surface and fast etch rates. The etch depths were measured by Dektek profilometry and the surface morphology with scanning electron microscopy. This paper reports the thermal effect on the etch process of CdTe and the effect of various gas flow rates and ratio between CCl2F2 and Ar.  相似文献   

13.
The etching mechanism of (Bi4−xLax)Ti3O12 (BLT) thin films in Ar/Cl2 inductively coupled plasma (ICP) and plasma-induced damages at the etched surfaces were investigated as a function of gas-mixing ratios. The maximum etch rate of BLT thin films was 50.8 nm/min of 80% Ar/20% Cl2. From various experimental data, amorphous phases on the etched surface existed on both chemically and physically etched films, but the amorphous phase was thicker after the 80% Ar/20% Cl2 process. Moreover, crystalline “breaking” appeared during the etching in Cl2-containing plasma. Also the remnant polarization and fatigue resistances decreased more for the 80% Ar/20% Cl2 etch than for pure Ar plasma etch.  相似文献   

14.
High density plasma etching of mercury cadmium telluride using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry is used to identify and monitor etch products evolving from the surface during plasma etching. The identifiable primary etch products are elemental Hg, TeH2, and Cd(CH3)2. Their relative concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy and substrate temperature are varied. General insights are made into surface chemistry mechanisms of the etch process. These insights are evaluated by examining etch anisotropy and damage to the remaining semiconductor material. Regions of process parameter space best suited to moderate rate, anisotropic, low damage etching of HgCdTe are identified.  相似文献   

15.
Dry etching of multilayer magnetic thin film materials is necessary for the development of sensitive magnetic field sensors and memory devices. The use of high ion density electron cyclotron resonance (ECR) plasma etching for NiFe, NiFeCo, TaN, and CrSi in SF6/Ar, CH4/H2/Ar, and Cl2/Ar plasmas was investigated as a function of microwave source power, rf chuck power, and process pressure. All of the plasma chemistries are found to provide some enhancement in etch rates relative to pure Ar ion milling, while Cl2/Ar provided the fastest etch rate for all four materials. Typical etch rates of 3000Å/min were found at high microwave source power. Etch rates of these metals were found to increase with rf chuck power and microwave source power, but to decrease with increasing pressure in SF6/Ar, CH4/H2/Ar, and Cl2/Ar. A significant issue with Cl2/Ar is that it produces significant metal-chlorine surface residues that lead to post-etch corrosion problems in NiFe and NiFeCo. However, the concentration of these residues may be significantly reduced by in-situ H2 or O2 plasma cleaning prior to removal of the samples from the etch reactor.  相似文献   

16.
Cl2-based inductively coupled plasmas (ICP) with low additional dc self-biases (?100V) produce convenient etch rates (500–1500Å·min?1) for III-nitride electronic device structures. A systematic study of the effects of additive gas (Ar, N2, H2), discharge composition, process pressure, and ICP source power and chuck power on etch rate and surface morphology has been performed. The general trends are to go through a maximum in etch rate with percent Cl2 in the discharge for all three mixtures, and to have an increase (decrease) in etch rate with source power (pressure). Since the etching is strongly ion-assisted, anisotropic pattern transfer is readily achieved. Maximum etch selectivities of approximately six for InN over the other nitrides were obtained.  相似文献   

17.
Inductively coupled plasma reactive ion etching of SiC single crystals using NF3-based gas mixtures was investigated. Mesas with smooth surfaces and vertical sidewalls were obtained, with a maximum etch rate of about 400 nm/min. Effects of CH4 and O2 addition to the NF3 gas and the crystalline quality of substrates were studied during the SiC dry etching using various masks. Selectivity of the photoresist (PR) mask improved from about 0.2 to about 0.4 by the addition of 30% CH4 during the RIE, although the etch rate decreased by 50–70%. Results also indicated that the substrate quality does not significantly affect the etch results.  相似文献   

18.
In this paper, we report the reactive ion etching (RIE) of trenches in 6H-silicon carbide using SF6/O2. The plasma parameters: etchant composition, gas flow rate, chamber pressure, and radio frequency power were optimized to obtain a maximum etch rate of 360Å/min. The etch rate of SiC was found to exhibit a direct correlation with the dc self bias except when the O2 percentage was varied. Trenches were fabricated using the optimized conditions. It was found that the trench surface was extremely rough due to the aluminum micromasking effect. To overcome this effect, a TeflonTM sheet was used to cover the cathode during the experiment. The trenches fabricated using this modification were found to have smooth etched surfaces and sidewalls. The angle of anisotropy of these trenches was approximately 80° which is suitable for device applications.  相似文献   

19.
This paper reports the reactive ion etching (RIE) characteristics of benzocyclobutene (BCB) in sulfur hexafluoride/oxygen (SF6/O2) plasmas. The dependence of etching rate and etch anisotropy on the processing parameters, including RF power, chamber pressure, and SF6 concentration, are investigated comprehensively ranging from 50 to 200 W, 22.5 to 270 mTorr, and 0% to 80%, respectively. The BCB etching rate increases with chamber pressure and RF power in spite of nonlinearity, but decreases with the increase in SF6 concentration. Anisotropic etching can be achieved using low chamber pressure, large RF power, and high SF6 concentration. To avoid grass-like residue that happens at low pressure and large power fluorine-poor conditions, processing parameters with respect to residue-free etching are recommended. The etching mechanisms of the dependence of the etching characteristics on the processing parameters are discussed. Optimal processing parameters are presented as a guideline for isotropic etching of BCB as sacrificial layers to release structures and for anisotropic etching of BCB to precisely control etching dimensions and profiles.  相似文献   

20.
Gd2O3 is a promising gate dielectric for GaN, but little is known of its dry etching characteristics. We achieved Gd2O3 etch rates up to ~600 Å · min?1 in high density Cl2-based discharges, with maximum selectivities of ~15 over GaN and ~4 over AlN. Pure Cl2 discharges produced reverse selectivities for both Gd2O3/GaN and Gd2O3/AlN, with typical values between 0.1–0.4. When a rare gas additive such as Ar or Xe was added to the plasma chemistry, the nitrides etched faster than the oxide. This indicates that volatile etch products (GaCl3, AlCl3, N2) form in Cl2-based plasmas once the GaN or AlN bonds are broken by ion bombardment, but that GdClx species are not volatile. In conjunction with the low efficiency for Gd2O3 bond-breaking at low ion energies, this leads to low selectivity.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号