首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 468 毫秒
1.
An investigation of the Ba2Ti9O20 (BTO) and Pt thin films etch mechanism in the Cl2/Ar inductively coupled plasma was carried out. It was found that an increase in Ar mixing ratio at fixed gas pressure and input power causes a fast decrease in the BTO etch rate (26.9-1.2 nm/min for 0-100% Ar) while the Pt etch rate increases slightly from 17.4-23.0 nm/min. Langmuir probe diagnostics and zero-dimensional plasma modeling provided the data on plasma parameters, steady-state composition and fluxes of active species on the etched surface. From the model-based analysis of etch kinetics, it was shown that the behavior of the BTO etch rate corresponds to the reaction-rate-limited etch regime, where the etch rate is limited neither by physical sputtering of the main material nor by the ion-stimulated desorption of low-volatile reaction products. The etch process of Pt appears in the transitional regime and is controlled by the neutral and ion fluxes together.  相似文献   

2.
In this work, we investigated etching characteristics of BST thin films and higher selectivity of BST over Si using inductive coupled O2/Cl2/Ar plasma (ICP) system. The maximum etch rate of BST thin films and selectivity of BST over Si were 61.5 nm/min at a O2 addition of 1 sccm, 9.52 at a O2 addition of 4 sccm into the Cl2(30%)/Ar(70%) plasma, respectively. Plasma diagnostics was performed by Langmuir probe (LP), optical emission spectroscopy (OES) and quadrupole mass spectrometry (QMS). These results confirm that the increased etch rates at O2 addition of 1 sccm is the result of the enhanced chemical reaction between BST and Cl radicals and an ion bombardment effect.  相似文献   

3.
Etching of Ge2Sb2Te5 (GST) is a critical step in the fabrication of chalcogenide random access memories. In this paper, the etch characteristics of GST films were studied with a CF4/Ar gas mixture using a reactive-ion etching system. We observed a monotonic decrease in etch rate with decreasing CF4 concentration indicating its importance in defining the material removal rate. Argon, on the other hand, plays an important role in defining the smoothness of the etched surface and sidewall edge acuity. We have studied the importance of gas mixture and RF power on the quality of the etched film. The smoothest surfaces and most vertical sidewalls were achieved using a CF4/Ar gas mixture ratio of 10/40, a background pressure of 80 mTorr, and power of 200 W.  相似文献   

4.
The role of N2 on GaAs etching at 150 mTorr capacitively-coupled Cl2/N2 plasma is reported. A catalytic effect of N2 was found at 20-25% N2 composition in the Cl2/N2 discharges. The peak intensities of the Cl2/N2 plasma were monitored with optical emission spectroscopy (OES). Both atomic Cl (725.66 nm) and atomic N (367.05 nm) were detected during the Cl2/N2 plasma etching. With the etch rate and OES results, we developed a simple model in order to explain the etch mechanism of GaAs in the high pressure capacitively-coupled Cl2/N2 plasma as a function of N2 ratio. If the plasma chemistry condition became positive ion-deficient at low % N2 or reactive chlorine-deficient at high % N2 in the Cl2/N2 plasma, the GaAs etch rate is reduced. However, if the plasma had a more balanced ratio of Cl2/N2 (i.e. 20-25% N2) in the plasma, much higher etch rates (up to 150 nm/min) than that in pure Cl2 (50 nm/min) were produced due to synergetic effect of neutral chlorine adsorption and reaction, and positive ion bombardment. Pure Cl2 etching produced 14 nm of RMS surface roughness of GaAs. Introduction of ?20% N2 gas in Cl2/N2 discharges significantly reduced the surface roughness to 2-4 nm. SEM photos showed that the morphology of photoresist mask was strongly degraded. Etch rate of GaAs slightly increased from 10 to 40 nm/min when RIE chuck power changed from 10 to 150 W at 12 sccm Cl2/8 sccm N2 plasma condition. The surface roughness of GaAs etched at 12 sccm Cl2/8 sccm N2 plasma was 2-3 nm.  相似文献   

5.
This study examined the plasma etching characteristics of ZnO thin films etched in BCl3/Ar, BCl3/Cl2/Ar and Cl2/Ar plasmas with a positive photoresist mask. The ZnO etch rates were increased in a limited way by increasing the gas flow ratio of the main etch gases in the BCl3/Ar, BCl3/Cl2/Ar and Cl2/Ar plasmas at a fixed dc self-bias voltage (Vdc). However, the ZnO etch rate was increased more effectively by increasing the Vdc. Optical emission spectroscopy (OES) and X-ray photoelectron spectroscopy (XPS) analyses of the ZnO surfaces etched at various Cl2/(Cl2 + Ar) mixing ratios revealed the formation of the ZnOxCly reaction by-products as a result of the increased etch rate with increasing Cl2 addition, compared with 100% Ar+ sputter etching. This suggests that at Cl2/Ar flow ratios ⩾20%, the ZnO etch process is controlled by an ion-assisted removal mechanism where the etch rate is governed by the ion-bombardment energy under the saturated chlorination conditions.  相似文献   

6.
High-k HfOxNy thin films have been grown by radio frequency (rf) reactive sputtering of metal Hf target in N2/Ar/O2 ambient at different substrate temperatures. The chemical compositions of the films have been investigated as a function of substrate temperature by X-ray photoelectron spectroscopy (XPS). XPS measurements showed that nitrogen concentration increases with an increase in substrate temperature. Room-temperature spectroscopic ellipsometry (SE) with photon energy 0.75–6.5 eV was used to investigate the optical properties of the films. SE results demonstrated that refractive index n increases with an increase in substrate temperature. Based on TL parameters which were obtained from the best fit results used in a simulation of the measured spectra, meanwhile, we conclude that the energy band gap (Eg) decreases with an increase in substrate temperature.  相似文献   

7.
对使用CF4/Ar 混合气体刻蚀Al1.3Sb3Te的特性进行了研究。实验控制的参数是:气体流入刻蚀腔的速率,CF4/Ar 比例,O2的加入量,腔内压强以及加在底电极上的入射射频功率。总的气体流量是50sccm ,研究刻蚀速率与CF4/Ar的比例,O2加入量,腔内压强和入射射频功率的关系。最后刻蚀参数被优化。 使用优化的刻蚀参数CF4的浓度4%,功率300W,压强800mTorr,刻蚀速率达到70.8nm/min,刻蚀表面平整  相似文献   

8.
Phase change random access memory(PCRAM) is one of the best candidates for next generation nonvolatile memory,and phase change Si2Sb2Te5 material is expected to be a promising material for PCRAM.In the fabrication of phase change random access memories,the etching process is a critical step.In this paper,the etching characteristics of Si2Sb2Te5 films were studied with a CF4/Ar gas mixture using a reactive ion etching system.We observed a monotonic decrease in etch rate with decreasing CF4 concentration,meanwhile,Ar concentration went up and smoother etched surfaces were obtained.It proves that CF4 determines the etch rate while Ar plays an important role in defining the smoothness of the etched surface and sidewall edge acuity.Compared with Ge2Sb2Te5, it is found that Si2Sb2Te5 has a greater etch rate.Etching characteristics of Si2Sb2Te5 as a function of power and pressure were also studied.The smoothest surfaces and most vertical sidewalls were achieved using a CF4/Ar gas mixture ratio of 10/40,a background pressure of 40 mTorr,and power of 200 W.  相似文献   

9.
The etching mechanism of ZrO2 thin films in BCl3/Ar plasma was investigated using a combination of experimental and modeling methods. It was found that an increase in the Ar mixing ratio causes the non-monotonic behavior of the ZrO2 etch rate which reaches a maximum of 41.4 nm/min at about 30-35% Ar. Langmuir probe measurements and plasma modeling indicated the noticeable influence of a BCl3/Ar mixture composition on plasma parameters and active species kinetics that results in non-linear changes of both densities and fluxes for Cl, BCl2 and . From the model-based analysis of surface kinetics, it was shown that the non-monotonic behavior of the ZrO2 etch rate can be associated with the concurrence of chemical and physical pathways in ion-assisted chemical reaction.  相似文献   

10.
SiCl4-based reactive ion etching (RIE) is used to etch MgxZn1−xO (0≤x≤0.3) films grown on r-plane sapphire substrates. The RIE etch rates are investigated as a function of Mg composition, RIE power, and chamber pressure. SiO2 is used as the etching mask to achieve a good etching profile. In comparison with wet chemical etching, the in-plane etching anisotropy of MgxZn1−xO (0≤x≤0.3) films is reduced in RIE. X-ray photoelectron spectroscopy measurements show that there is no Si and Cl contamination detected at the etched surface under the current RIE conditions. The influence of the RIE to the optical properties has been investigated.  相似文献   

11.
DRAM is the most commonly used memory due to many advantages such as high speed and easy manufacturability owing to its simple structure, but is volatile. On the other hand, flash memory is non-volatile, but has other disadvantages such as slow speed, short lifetime, and low endurance for repetitive data writing. Compared to DRAM and flash memory, PRAM (Phase-change Random Access Memory), which is a non-volatile memory using a reversible phase change between amorphous and crystalline state, has many advantages such as high speed, high sensing margin, low operating voltage, and is being pursed as a next generation memory. Being able to pattern and etch phase change memory in nanometer scale is essential for the integration of PRAM. This study uses the Nano-Imprint Lithography (NIL) for patterning the PRAM in nanometer scale which is believed to be a future lithography technology that will replace the conventional Photo Lithography. Si wafers coated with SiO2 were used as substrates, and Ge2Sb2Te5 (GST) films with the thicknesses of 100 nm were deposited by RF sputtering. Poly-benzylmethacrylate based polymer patterns were formed using NIL on the surface of GST films, and the GST films were etched using Cl2/Ar plasma in an Oxford ICP (inductively coupled plasma) etcher.  相似文献   

12.
The thermal stability and interfacial characteristics for hafnium oxynitride (HfOxNy) gate dielectrics formed on Si (1 0 0) by plasma oxidation of sputtered HfN films have been investigated. X-ray diffraction results show that the crystallization temperature of nitrogen-incorporated HfO2 films increases compared to HfO2 films. Analyses by X-ray photoelectron spectroscopy confirm the nitrogen incorporation in the as-deposited sample and nitrogen substitution by oxygen in the annealed species. Results of FTIR characterization indicate that the growth of the interfacial SiO2 layer is suppressed in HfOxNy films compared to HfO2 films annealed in N2 ambient. The growth mechanism of the interfacial layer is discussed in detail.  相似文献   

13.
One of the major GaN processing challenges is useful pattern transfer. Serious photoresist mask erosion and hardening are often observed in reactive ion etching of GaN. Fine pattern transfer to GaN films using photoresist masks and complete removal of remaining photoresist after etching are very difficult. By replacing the etch mask from conventional photoresist to a sputtered iron nitride (Fe-8% N) film, which is easily patterned by wet chemical etching and is very resistive to Cl based plasmas, GaN films can be finely patterned with vertical etched sidewalls. Successful pattern transfer is realized by reactive ion etching using Cl (H) containing plasmas. CHF3/Ar, C2ClF5/Ar, C2ClF5/Ar/O2, SiCl4, and CHCl3 plasmas were used to etch GaN. The GaN etch rate is dependent on the crystalline quality of GaN. Higher crystalline quality GaN films exhibit slower etch rates than GaN films with higher dislocation and stacking fault density.  相似文献   

14.
To optimize the performance of copper diffusion barriers, we deposited TaNx thin films through radio frequency (RF) sputtering at various flow ratios of the reactive gases NH3 and Ar. The composition of the film changed from Ta2N to TaN, as evidenced from deposition rates and N-to-Ta ratios, when we increased the NH3-to-Ar flow ratio from 0.075 to 0.3. Furthermore, the structure of the TaNx thin film transformed from body-centered cubic (BCC) to face-centered cubic (FCC) to nanocrystalline upon increasing the NH3-to-Ar flow rate, as revealed by the three steps in the rate of formation of the TaNx films during the sputtering process. When incorporated in Cu/TaNx/n+np+ diodes, the thermal stability of the TaNx thin film—measured in terms of the leakage current remaining below 3 μA—increased from 450 to 550 °C upon increasing the NH3-to-Ar flow ratio from 0.075 to 0.3. It appears that the NH3-to-Ar flow ratio influences the properties of TaNx films predominantly through modification of the crystal structure.  相似文献   

15.
Electrodeposition has emerged as a practical and simple method to synthesise semiconductor materials under different forms, thin films or nanostructured layers. This work reports on the cathodic electrodeposition of ZnMnO thin layers using both zinc and manganese chlorides as precursors. The composition of thin films can be varied from binary zinc oxide to manganese oxide varying the Mn/(Mn+Zn) ratio between 0 and 1. The composition of ZnxMnyOz films was obtained by energy dispersive spectroscopy. Zn1−xMnxO films with Mn/Zn ratio less than 10% exhibit a crystalline wurtzite structure typical of ZnO fully oriented in the (0 0 2) direction. Higher Mn content leads to deformation of the ZnO lattice and the wurtzite structure is no longer maintained. X-ray photoelectron spectroscopy points out that Mn3O4 tends to be deposited when a high Mn/Zn ratio is used in the starting solution. Magnetic measurements on films with Mn/(Zn+Mn) ratio near 1 reveal magnetic characteristics similar to Mn3O4 compounds. The transmission spectra of ZnxMnyOz show the typical absorption edge of crystalline ZnO while the wurtzite structure is maintained and it shifts to higher wavelengths when Mn content increases.  相似文献   

16.
Ca doping of YBa2Cu3O7−δ (YBCO) is well known to enhance the critical current density in large-angle grain boundaries for example of bicrystals. However, up to now no data are available on microwave properties of epitaxial Ca-doped YBa2Cu3O7−δ thin films on r-plane sapphire with CeO2 buffer layer.Therefore, first results are presented for large-area pulsed laser deposition (PLD) grown CaxY1−xBa2Cu3O7−δ films on 3-in. diameter sapphire wafers. The PLD process is optimised for undoped YBCO thin films and shows high reproducibility for YBCO. The microwave surface resistance Rs at 8.5 GHz of Ca-doped YBCO (x=0.1) thin films shows clear reduction (up to 20%) with respect to that of YBCO for temperatures from about 20–50 K. In addition, microwave surface resistance Rs of Ca-doped YBCO is lower than that of YBCO even for enhanced microwave surface magnetic field up to about 20 mT for temperatures 20 and 40 K.  相似文献   

17.
本文采用化学水浴法沉积CuxS薄膜,通过改变Cu元素比例研究其对碲化镉电池效率的影响。研究表明化学水浴法沉积的CuxS是非晶的,采用适当退火条件可以使其晶化,随着退火温度的提高,薄膜变得致密且结晶明显。CuxS薄膜厚度对电池性能有很大的影响,结果表明,随着CuxS薄膜厚度增加,电池性能先增加后减少。薄膜厚度为75nm时,CdS/CdTe电池性能最佳,达到了最高转化效率(η)为12.19%,填充因子(FF)为68.82%,开路电压(Voc)为820mV。  相似文献   

18.
Plasma treatment and 10% NH4OH solution rinsing were performed on a germanium (Ge) surface. It was found that the Ge surface hydrophilicity after O2 and Ar plasma exposure was stronger than that of samples subjected to N2 plasma exposure. This is because the thin GeOx film formed on Ge by O2 or Ar plasma is more hydrophilic than GeOxNy formed by N2 plasma treatment. A flat (RMS<0.5 nm) Ge surface with high hydrophilicity (contact angle smaller than 3°) was achieved by O2 plasma treatment, showing its promising application in Ge low-temperature direct wafer bonding.  相似文献   

19.
Reactive ion etching (RIE) was performed on gallium nitride (GaN) films grown by electron cyclotron resonance (ECR) plasma assisted molecular beam epitaxy (MBE). Etching was carried out using trifluoromethane (CHF3) and chloropentafluoroethane (C2ClF5) plasmas with Ar gas. A conventional rf plasma discharge RIE system without ECR or Ar ion gun was used. The effects of chamber pressure, plasma power, and gas flow rate on the etch rates were investigated. The etch rate increased linearly with the ratio of plasma power to chamber pressure. The etching rate varied between 60 and 500Å/min, with plasma power of 100 to 500W, chamber pressure of 60 to 300 mTorr, and gas flow rate of 20 to 50 seem. Single crystalline GaN films on sapphire showed a slightly lower etch rate than domain-structured GaN films on GaAs. The surface morphology quality after etching was examined by atomic force microscopy and scanning electron microscopy.  相似文献   

20.
Hafnium oxide (HfO2) films were deposited on Si substrates with a pre-grown oxide layer using hafnium chloride (HfCl4) source by surface sol-gel process, then ultrathin (HfO2)x(SiO2)1−x films were fabricated due to the reaction of SiO2 layer with HfO2 under the appropriate reaction-anneal treatment. The observation of high-resolution transmission electron microscopy indicates that the ultrathin films show amorphous nature. X-ray photoelectron spectroscopy analyses reveal that surface sol-gel derived ultrathin films are Hf-Si-O alloy instead of HfO2 and pre-grown SiO2 layer, and the composition was Hf0.52Si0.48O2 under 500 °C reaction-anneal. The lowest equivalent oxide thickness (EOT) value of 0.9 nm of film annealed at 500 °C has been obtained with small flatband voltage of −0.31 V. The experimental results indicate that a simple and feasible solution route to fabricate (HfO2)x(SiO2)1−x composite films has been developed by means of combination of surface sol-gel and reaction-anneal treatment.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号