首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 468 毫秒
1.
设计了一款两级峰值检测电路,实现对上升沿为3 ns、脉宽为5 ns、下降沿为3 ns、重频为10 kHz的脉冲信号的峰值检测与保持,利用STM32单片机的模数转换器完成电压信号采集。给出以APD为光电探测器件的地面探测器系统的基本结构框图,利用探测器系统中的放大电路模块使接收激光脉冲宽度从1 ns展宽至5 ns,搭配峰值检测电路模块实现窄脉宽、高重频激光信号的检测与数据记录。利用信号源完成峰值检测电路部分的功能测试,使用重频为1 kHz、脉宽约为1 ns的激光器完成探测器系统整体的功能测试,实验证明此系统可以较好地检测并记录该激光信号的峰值。  相似文献   

2.
介绍一种新型窄脉冲峰值检测器,这种检测器采用非线性反馈结构,实现ns脉冲的峰值检测,它主要的特点是非线性小,检测动态范围宽,输出信号随脉冲形状与脉宽的变化小。  相似文献   

3.
陈曦  王聪 《电子技术》2023,(7):26-27
阐述数字电路测试中的FPGA通用老化驱动板设计,包括FPGA模块,电平转换模块,可调电源模块、输出选择模块。支持同时输出较高驱动能力的12路老化驱动信号,根据需求调节输出信号的幅值。  相似文献   

4.
在脉冲激光探测中,常采用峰值检测电路获取强度信息。当激光通过部分反射或部分遮挡的空间多层物体时,会产生多个回波。传统峰值检测电路无法准确探测多回波峰值。因此,基于脉冲多回波峰值检测原理,设计了一种具有高集成度的新型脉冲多回波峰值检测电路芯片。该芯片以两级峰值采样保持电路结构为基础,通过采用交织采样和多路复用技术优化了电路结构,实现了对多回波信号的峰值检测。芯片采用CMOS 0.18μm工艺设计,面积约为2.6 mm×0.48 mm,测试结果表明,所设计的芯片能够有效检测幅值范围50~500 mV、脉宽5 ns的多回波信号,峰值输出电压的最大误差为4.8%,通道间的输出电压最大相对偏差为5.7%,具有更精细的多回波探测能力,可集成应用于脉冲激光探测系统。  相似文献   

5.
在石油测井行业中伽玛能谱的测量是一种很重要的测井方式,本文结合脉冲中子能谱测量,对伽玛脉冲峰值检测做了研究,利用微分、延时电路及FPGA器件,能很好地检测到伽玛信号的峰值,由实验结果可知,峰值检测的线性度基本满足能谱测量的需求。  相似文献   

6.
为了分析四象限探测器偏差信号对激光导引头探测定位精度的影响,在激光导引头四象限探测器探测定位原理的基础上,采用计算机建模仿真的方法,研究了入射光斑大小、分划线宽度和背景光强度对四象限探测器偏差信号的影响。结果表明,入射光斑变大,四象限探测器的灵敏度会变低,动态跟踪范围变大;分划线宽度在探测器串扰允许的范围内越窄越好;背景光降低了四象限探测器的灵敏度。该研究结果有助于提高激光导引头四象限探测器探测定位精度。  相似文献   

7.
瞬态脉冲信号在工业控制、光电子通信等领域应用广泛。本文提出了一种双通道同步复装载脉冲发生方法,并基于此方法设计了一个纳秒级瞬态脉冲发生系统。该系统由时钟发生模块、双通道同步复装载计数模块和信号边沿触发模块组成。时钟发生模块输出相位相同、频率稳定的时钟信号。双通道同步复装载计数模块对生成的时钟信号进行计数并发出指示信号。指示信号通过信号边沿触发模块直接输出脉冲信号。实验结果表明:该方法可以生成脉宽可调的纳秒级脉冲信号。最小脉冲宽度和脉宽调节精度为0.833ns,与其他窄脉冲发生方法相比,脉冲宽度更窄,脉宽调节精度更高。  相似文献   

8.
针对无源核子料位计中测量精度不高的问题,设计一种随机脉冲峰值采样系统。该设计通过比对不同类型的峰值检测电路,选取了跨导型脉冲峰值检测方案,在分析核脉冲波形的过程中结合探测器实际情况,推导出该检测系统的参数,实现带宽高达15MHz的随机峰值检测系统。最后通过Spice模型仿真,结果表明系统具有快速的频率响应、低失真性,从而证明此脉冲峰值系统可行性,能够有效提高无源核子料位计的测量精度。  相似文献   

9.
针对无源核子料位计中测量精度不高的问题,设计一种随机脉冲峰值采样系统.该设计通过比对不同类型的峰值检测电路,选取了跨导型脉冲峰值检测方案,在分析核脉冲波形的过程中结合探测器实际情况,推导出该检测系统的参数,实现带宽高达15 MHz的随机峰值检测系统.最后通过Spice模型仿真,结果表明系统具有快速的频率响应、低失真性,从而证明此脉冲峰值系统可行性,能够有效提高无源核子料位计的测量精度.  相似文献   

10.
本设计根据直接频率合成器DDS的工作原理,在FPGA内部实现模拟汽车转速传感器输出信号,然后利用该信号驱动汽车转速表实际值的显示,最后再通过与转速理论计算值比较来完成对汽车转速表校验。该设计核心模块是脉冲产生模块,利用FPGA自身的技术优势,运用科学先进算法来完成此模块。以此来达到比基于单片机的传统转速校验仪更优的性能。  相似文献   

11.
给出了一种基于FPGA的TH-UWB窄脉冲信号发生器的实现方法.信号采用脉冲位置调制,调制后的信号利用FPGA片内逻辑门的延时特性,编写延时程序产生携带调制信息的窄脉冲.在Altera DE2开发平台下实现了全数字化的TH-UWB信号发生器.该发生器系统的信号调制、窄脉冲产生都在FPGA芯片内部进行,与传统模拟发生器相比,可以使整个发生器成本显著降低,易于实现,工作稳定,结构简单且便于系统调试和更改.时序仿真和硬件实测数据表明,所得信号能达到TH-UWB纳秒量级窄脉冲的各项要求.  相似文献   

12.
四像限光电探测器的光路数学模型   总被引:1,自引:0,他引:1  
探测器数学模型是探测器实施精确探测的基础。通过分析探测器光路建立了一个较为完整的四像限光电探测器的光路数学模型.考虑了探测器在安装过程中发生的偏移位置及其偏移量、光敏面的离焦量、探测器结构参数等对光信号到电信号的转换的影响。在建立俯仰偏移、横滚偏移和方位偏移三种特定情况下探测器模型的基础上.给出了探测器的三自由度安装位置、光敏面的离焦量、光信号等与输出信号的一般关系式。比较在给定相同光路参数条件下探测器光路数学模型的理论输出信号波形与实测输出信号波形,结果表明,两者信号波形相似。比较模型输出与实际输出信号的两个特征参数.即信号过零点的切线的斜率与截距,可以发现,特征参数的差别小于0.01,因此。四像限光电探测器数学模型的输入输出关系与探测器实际输入输出关系是一致的,可以用该模型实施探测器探测。这为光电探测器进一步的研究与应用.实现无实验样本探测提供了参考。  相似文献   

13.
李川  薛珮瑶 《微电子学》2015,45(2):192-195, 199
针对窄脉冲激光时域/频域特性,对窄脉冲激光电路设计进行了详细的分析,包括探测器光敏面面元尺寸分析,以及取样电阻、反馈电容对信号带宽和信号完整性的影响等。为了提高探测系统的信噪比、稳定性等要素,对两种典型的光电接口电路进行了理论分析和软件仿真,得到不同的探测器结电容、取样电阻、反馈电容等参数对窄脉冲激光探测电路光电接口带宽、输出信号幅值、脉宽等响应特性的影响。根据不同种类的探测器及脉冲激光探测信号的频率特性,选取不同的偏置与放大电路,可以使前置光电接口电路的性能达到最佳。  相似文献   

14.
张月  王堃  黄霞  黄惠良 《压电与声光》2017,39(6):899-902
该文利用a-Si PIN结构X线平板探测器设计对应的信号采集电路,读出经由探测器阵列感测到的模拟信号并转化为数字信号供后续处理。用德州仪器成熟的商用芯片,经过电路设计、PCB制作实现硬件部分。控制代码使用VerilogHDL硬件描述语言编写,通过Modelsim软件仿真,最后利用Quartus II软件综合、布局布线,使用AlteraCycloneIV系列现场可编程门阵列(FPGA)实现信号输出从而控制信号采集电路的运行。最终实验与测试验证了电路的功能,成功获取X光的模拟和数字信号。验证了电路的功能,并为低剂量X光探测器信号的采集提供了一种设计参考。  相似文献   

15.
基于CPCI总线,使用FPGA实现了雷达信号处理板的设计与实现。实现数字下变频,大时宽带宽积数字脉冲压缩以及FFT等通用雷达信号处理功能。最后给出了数字下变频和大时宽带宽积数字脉冲压缩在某雷达系统中的测试结果,测试结果满足系统要求。  相似文献   

16.
汤炎甫 《光电子技术》2006,26(4):230-233,254
介绍了场致显示器的灰度调制的原理及其灰度调制驱动电路的设计。采用FPGA控制技术实现前端视频信号接口、脉宽灰度调制的功能。通过串并转换模块与寻址芯片的连接,将PWM信号放大驱动FED显示屏实现视频图像的显示。该电路能驱动63.5cm彩色FED样机实现256级灰度显示。  相似文献   

17.
基于FPGA的精密时间间隔测量仪设计   总被引:1,自引:1,他引:0  
介绍一种基于FPGA的精密时间间隔测量仪的研制过程。精密时间间隔测量仪是应科学试验中高可靠性、高精密度和多通道等测量要求而研制的。精密时间间隔测量仪以PXI接口为平台设计成为虚拟仪器,一个PXI系统可插多个精密时间间隔测量仪板卡,每个板卡可对1个起始通道与8个停止通道的脉冲信号进行时间间隔测量,同时测量停止脉冲的脉冲宽度。精密时间间隔测量仪以FPGA为测时核心,利用锁相环倍频和时钟分相技术,测量分辨力可达到1ns,测时范围可达10ns-10ms。  相似文献   

18.
葛昀  吴宁 《电子科技》2014,27(4):112-114,117
设计了一种线性F/V转换系统。传感器输出的脉冲频率信号经信号调理电路调理后输入FPGA,FPGA测量脉冲信号的频率,根据系统精度要求,需设计Q格式定点运算,测得的频率经FPGA定点运算后得到与频率大小成线性关系的D/A转换的数字量,控制串行DAC7551输出相应的电压值。实验结果表明,系统的转换精度优于0.1%,改变系统的设计参数可实现更高精度的频率信号到电压信号的转换。  相似文献   

19.
基于ADSP_TS201的雷达信号处理机设计   总被引:1,自引:0,他引:1  
许亮  宋万杰  刘峥 《电子科技》2010,23(7):99-101
开发了一套以4片TS201和一片FPGA为核心的雷达信号处理系统。DSP仅通过链路口实现点对点通信,内存空间独立。系统仅用一副板卡即完成了雷达数据处理,使其具有硬件结构简单、体积小、程序易调试、整体可靠性高等特点,可以实现副瓣对消、四路信号的脉冲压缩与动目标检测等功能,该系统已成功应用于实际工程中。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号