首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 171 毫秒
1.
王美娟  吴宁 《计算机工程》2009,35(12):279-282
针对现有测试向量存在的不足,提出一种可施加到电路板扫描链上的测试向量自动生成方法,该方法利用被测电路的网络表文件和边界扫描描述语言文件,获取器件互连关系、边界扫描信息及扫描链路结构,结合测试算法生成板级测试向量,根据扫描链数目及连接关系将其扩展并生成可施加到扫描链上的链路级测试向量。实验结果表明,该方法能检测被测电路中多条扫描链的固定0、固定1、短路和开路故障,为测试系统提供了实用高效的测试向量。  相似文献   

2.
在本文中,我们提出了一种改进的扫描森林结构并将其运用到基于扫描的自测试中,目的是在保证故障覆盖率的同时,将电路的扫描测试代价降低到非扫描可测试性设计的水平。为了构造这种适合于自测试(以下简称BIST)的改进的扫描森林结构,我们使用了三项技术:一种扫描触发器平衡分组策略、一种新的扫描树结构和一种新的扫描输入信号号处理办法。大量的实验结果表明,该方法与传统的基于扫描自测试方法相比,能获得更高的故障覆盖率。同时,改进的扫描森林结构相比于原始的扫描森林结构,能大幅度 减少集成电路芯片的面积开销。  相似文献   

3.
提出了一种利用折叠计数器特点,基于完全测试集的低功耗测试方案。方案先用几个相关性很好的折叠集测试电路中大部分的故障,然后直接翻转扫描单元中的数据得到剩余故障的测试向量。在硬件上,采用一个地址计数器和随机访问扫描(RAS)结构相结合实现了并行的折叠控制。与传统的混合测试模式相比,克服了伪随机测试阶段带来的功耗问题。实验结果表明,该方案能够有效降低测试功耗和测试时间。  相似文献   

4.
基于多扫描链的内建自测试技术中的测试向量生成   总被引:1,自引:0,他引:1  
针对基于多扫描链的内建自测试技术,提出了一种测试向量生存方法。该方法用一个线性反馈移位寄存器(LFSR)作为伪随机测试向量生成器,同时给所有扫描链输入测试向量,并通过构造具有最小相关度的多扫描链克服扫描链间的相关性对故障覆盖率的影响。此外该方法经过模拟确定难测故障集,并针对这外难测故障集利用ATPG生成最小确定性测试向量集。最后丙依据得到的最小测试向量集来设计位改变逻辑电路,利用们改变逻辑电路控制改变扫描链上特定的值来实现对难测故障的检测,从而实现被测电路和故障完全检测。  相似文献   

5.
杨婷  邝继顺 《微处理机》2007,28(2):8-10,13
随机测试向量产生时,一大部分的测试功耗是由于那些无贡献的测试向量所引起的。文中提出了一种基于测试片段间的转移低功耗BIST结构,该结构采用有效测试向量片段间转移的方式,除去了由随机产生而对故障覆盖率没有贡献的无效向量,并把有效测试向量片段以消耗功耗最小原则依次送入被测电路,减少了测试时间,在硬件代价不高的基础上有效降低了测试功耗。  相似文献   

6.
扫描链故障确定性诊断向量生成算法   总被引:1,自引:0,他引:1  
扫描技术是一种广泛采用的结构化可测试性设计方法,是提高测试质量的有效手段.但由于扫描链及其控制逻辑可能会占到整个芯片面积的30%,因此扫描链故障导致的失效可能会达到失效总数的50%.提出一种扫描链故障确定性诊断向量生成算法:首先建立了诊断扫描链故障的电路模型,利用该模型可以采用现有固定型故障测试生成工具产生扫描链诊断向量;然后提出一种故障响应分析方法,以有效地降低候选故障对的数量,从而在保障诊断质量的前提下减少诊断向量数目,缩短了诊断过程的时间.实验结果表明,在测试诊断精确度、故障分辨率和向量生成时间方面,该算法均优于已有的扫描链诊断向量生成方法.  相似文献   

7.
针对现有测试向量的不足,该文介绍了边界扫描的基本原理和结构并且分析了测试算法,重点论述了在互连测试中向边界扫描单元预装测试向量和提取响应的方法,最后提出了一种可施加于电路板扫描链上的测试向量生成方法。实验结果表明,该方法思路清晰,能够检测被测电路板中多条扫描链的固定0、固定1、短路和开路故障。  相似文献   

8.
为了减少测试数据的存储需求并降低测试应用时间,提出一种以折叠计算为理论的多扫描链BIST方案.首先利用输入精简技术在水平方向上压缩测试集,确定相容扫描链,在测试过程中对相容扫描链中的数据进行广播;然后利用折叠计算理论对测试集进行垂直方向上的压缩,使得同一折叠种子生成的相邻测试向量仅有1位不同,且在测试过程中测试向量并行移人多扫描链,在ISCAS标准电路上的实验结果表明,该方案的平均测试数据压缩率为95.07%,平均测试应用时间为之前方案的13.35%.  相似文献   

9.
提出一种测试数据压缩方案,利用测试向量与扫描链中响应数据的分块相容来增加被编码测试向量中的无关位,降低了线性反馈移位寄存器(LFSR)编码种子的度数,且不必增加额外的测试向量,最终达到压缩测试数据的目的.该方案的硬件解压结构仅需一个LFSR和简单的控制电路.实验结果表明,与其他压缩方法,如基于部分向量切分的LFSR重新播种方法、混合码方案和FDR码方案等相比,该方案在压缩效率和硬件开销上都有明显优势.  相似文献   

10.
基于边界扫描技术的VLSI芯片互连电路测试研究   总被引:1,自引:2,他引:1  
对VLSI芯片互连电路测试过程数学描述模型及测试原理进行了研究,在此基础上提出了一种基于边界扫描技术的VLSI芯片互连电路测试实现方案。以PC机为测试平台的测试实验结果表明:该方案成功地完成了边界扫描机制试验电路扳上互连电路的桥接、S—A—1型、S—A—0型等多种类型故障的检测。  相似文献   

11.
减少多种子内建自测试方法硬件开销的有效途径   总被引:9,自引:0,他引:9  
提出一个基于重复播种的新颖的BIST方案,该方案使用侦测随机向量难测故障的测试向量作为种子,并利用种子产生过程中剩余的随意位进行存储压缩;通过最小化种子的测试序列以减少测试施加时间.实验表明,该方案需要外加硬件少,测试施加时间较短,故障覆盖率高,近似等于所依赖的ATPG工具的故障覆盖率.在扼要回顾常见的确定性BIST方案的基础上,着重介绍了文中的压缩存储硬件的方法、合成方法和实验结果.  相似文献   

12.
UMC-Scan Test Methodology: Exploiting the Maximum Freedom of Multicasting   总被引:2,自引:0,他引:2  
Industry has used scan-based designs widely to promote test quality. However, for larger designs, the growing test data volume has significantly increased test cost because of excessively long test times and elevated tester memory and external test channel requirements. To address these problems, researchers have proposed numerous test compression architectures. In this article, we propose a flexible scan test methodology called universal multicasting scan (UMC scan). It has three major features: First, it provides a better than state-of-the-art test compression ratio using multicasting. Second, it accepts any existing test patterns and doesn't need ATPG support. Third, unlike most previous multicasting schemes that use mapping logic to partition the scan chains into hard configurations, UMC scan's compatible scan chain groups are defined by control bits, as in the segmented addressable scan (SAS) architecture. We have developed several techniques to reduce the extra control bits so that the overall test compression ratio can approach that of the ideal multicasting scheme.  相似文献   

13.
IC testing based on a full-scan design methodology and ATPG is the most widely used test strategy today. However, rapidly growing test costs are severely challenging the applicability of scan-based testing. Both test data size and number of test cycles increase drastically as circuit size grows and feature size shrinks. For a full-scan circuit, test data volume and test cycle count are both proportional to the number of test patterns N and the longest scan chain length L. To reduce test data volume and test cycle count, we can reduce N, L, or both. Earlier proposals focused on reducing the number of test patterns N through pattern compaction. All these proposals assume a 1-to-1 scan configuration, in which the number of internal scan chains equals the number of external scan I/O ports or test channels (two ports per channel) from ATE. Some have shown that ATPG for a circuit with multiple clocks using the multicapture clocking scheme, as opposed to one-hot clocking, generates a reduced number of test patterns.  相似文献   

14.
针对传统的自动测试图形向量生成采用逐个求解单一故障模型导致生成测试向量数据量巨大的缺点, 提出一种基于布尔满足性(boolean satisfiability, SAT)的多目标故障测试向量动态压缩方法, 同时论证多目标故障测试生成问题为布尔满足性问题。该方法将具有鲁棒性的SAT算法嵌入经典的动态压缩流程中, 首先利用经典动态压缩算法求解最小测试向量检测大部分失效故障, 然后采用SAT求解器对未测出的多故障电路进行同一求解和附加约束求解方式, 最终得到故障覆盖率高的测试向量和同一测试最大故障列表。实验数据表明, 在相同电路模型情况下, 此方法求得的测试向量相比经典动态压缩减少高达70%。  相似文献   

15.
Designers must target realistic faults if they desire high-quality test and diagnosis of CMOS circuits. The authors propose a strategy for generating high-quality IDDQ test patterns for bridging faults. They use a standard ATPG tool for stuck-at faults that adapts to target bridging faults via IDDQ testing. The authors discuss IDDQ test set diagnosis capability and specifically generated vectors that can improve diagnosability, and provide test and diagnosis results for benchmark circuits  相似文献   

16.
Built-in self test (BIST) scheme simplifies the detection of crosstalk faults in deep-submicron VLSI circuits in the boundary scan environment. The scheme tests for crosstalk faults with a periodic square wave test signal under applied random patterns generated by a linear feedback shift register (LFSR), which is transconfigured from the embedded circuit's boundary scan cells. The scheme simplifies test generation and test application while obviating the fault occurrence timing issue. Experimental results show that coverage for the induced-glitch type of crosstalk fault for large benchmark circuits can easily exceed 90%.  相似文献   

17.
Yield improvement requires understanding failures and identifying potential sources of yield loss. We focus on diagnosing random logic circuits and classifying faults. We introduce an interesting scan-based diagnosis flow, which leverages the ATPG patterns originally generated for fault coverage. This flow shows an adequate link between the design automation tools and the testers and correlation between the ATPG patterns and the tester failure reports.  相似文献   

18.
Efficient utilization of the inherent parallelism of multi-core architectures is a grand challenge in the field of electronic design automation (EDA). One EDA algorithm associated with a high computational cost is automatic test pattern generation (ATPG). We present the ATPG tool TIGUAN based on a thread-parallel SAT solver. Due to a tight integration of the SAT engine into the ATPG algorithm and a carefully chosen mix of various optimization techniques, multi-million-gate industrial circuits are handled without aborts. TIGUAN supports both conventional single-stuck-at faults and sophisticated conditional multiple stuck-at faults which allows to generate patterns for non-standard fault models. We demonstrate how TIGUAN can be combined with conventional structural ATPG to extract full benefit of the intrinsic strengths of both approaches.  相似文献   

19.
基于扫描的可测性设计技术需要大量空间存储测试矢量,并且难以实现全速测试,随着芯片规模越来越大,频率越来越高,其测试成本也将越来越高,逻辑内建自测试(Logic Built-In-Self-Test,LBIST)技术以其简单的硬件实现和较小的设计开销开始被业界广泛使用,但该技术也存在覆盖率较低的问题,主要原因在于:一是线性反馈移位寄存器(Linear Feedback Shift Register,LFSR)产生的伪随机矢量的空间相关性;二是电路结构上对伪随机矢量的抵抗性;针对这两种原因给出了一些改善的方法,从而达到提高故障覆盖率的目的,为实际设计提供借鉴。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号