首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
We report on an investigation of a so-called image-reversal process where a negative tone image can be obtained with a positive resist. The reversal process is achieved by addition of a base to the exposed photoresist and a subsequent flood exposure. First, we characterize the image reversal by means of the characteristic curves, thus optimizing the process. A simple analytical model and a two-dimensional numerical simulation program have been developed in order to evaluate the dependence of the edge slope of the image-reversed resist line on resist and exposure parameters. Experimental investigation confirmed the simulation result that both positive and negative line edge slopes can be achieved with the image-reversal process by properly adjusting the exposure parameters. Finally, the image-reversal process has been compared experimentally to the standard positive process, considering linewidth control on profiled surfaces.  相似文献   

2.
A simple physical model is proposed for bulk imaging effects during latent image formation in a resist layer. The model considers refraction at the air-resist interface, as well as depthwise defocus of the lateral intensity distribution within the resist layer. The approach represents a first-order correction to the vertical propagation model used in conventional photolithography simulation, yet preserves the data structures of simulators such as SAMPLE and SPESA, and requires only a modest increase in computational effort. Comparison of simulated resist profiles with published experimental data shows that this model qualitatively explains the asymmetries in photolithographic response observed as a function of focus offset position in a single layer resist process. The question of the optimum focal position within the resist layer is discussed using simulated focus-exposure diagrams and the concept of effective defocus  相似文献   

3.
The design, synthesis, and evaluation of a new resist formulation tailored for use in the deep UV (254 nm) is described. The resist is based on use of 2,2-dimethyl-4,6-dioxo-5-diazo-1,3-dioxolane (5- diazo-Meldrum's acid) and derivatives thereof as a sensitizer for cresol formaldehyde novolac resin. The new class of sensitizers provides an intense bleachable absorbance at 254 nm and couples sensitivity with plasma etch resistance. The resist exhibits remarkable dissolution kinetics which provide for high resolution imaging devoid of standing wave phenomena. A series of experiments designed to provide understanding of the dissolution kinetics are described.  相似文献   

4.
This contribution presents a simple quantitative model — the reaction dominated propagation model — for the simulation of the silylation bake in the DESIRE process. This allows to do very effectively simulation of three dimensional silylated resist patterns. The model is discussed and resist calibration is demonstrated for the i-line resist PLASMASK 206-I from JSR-Electronics  相似文献   

5.
In this paper, a model for computer simulation of the exposure and the development of the CAMP6 chemically amplified resist (CAR) during electron beam lithography is proposed. The distribution of the absorbed electron energy in the exposed resist is determined using our Monte Carlo algorithm and computer programs. A wider resist de-protection region due to the diffusion of the exposure catalyst product (acid) during the post-exposure bake (PEB) is estimated. It lays a special emphasis upon the development process simulation. Experimentally obtained time dependent macroscopic characteristics of the development (contrast curves, the development rate vs. the exposure dose) are taken into account, aiming to avoid the need for further calibration. The proposed model demonstrates qualitative agreement with the development kinetics of the resist developed profiles for the studied CAR.  相似文献   

6.
In order to understand the practical limits of electron beam direct-write and optical projection lithography techniques in device fabrication with micrometer and submicrometer geometries, we have exercised two computer simulation programs to estimate resolution limits and linewidth control. Latent image contrast and developed resist thickness contrast were calculated as a function of line-array spatial frequency. The linewidth tolerances were calculated by varying exposure, development time, focusing, line/space Pattern, resist thickness, etc. These simulation results indicate that the lithographic performance of the two techniques using state-of-the-art exposure tools are comparable at 1-µm dimensions. Some relevant experimental data also are presented.  相似文献   

7.
针对厚层抗蚀剂曝光过程中存在诸非线性因素的影响,更新Dill曝光参数的定义,建立了适合描述厚层抗蚀剂曝光过程的增强Dill模型.光刻过程模拟的准确性与曝光参数的测量精度有很大关系,为此,建立了实时曝光监测实验装置,测量了不同工艺条件、不同厚度抗蚀剂的曝光透过率曲线,并演绎计算出曝光参数随抗蚀剂厚度和工艺条件的变化规律.最后给出了采用增强Dill模型进行曝光过程的模拟和实验结果的分析.  相似文献   

8.
An experimental set-up based on multiwavelength interferometry, is applied in order to study in-situ the dissolution process of thin resist films. The interference function was the basis for a fitting algorithm, which analyses the experimental data and evaluates the progress of the resist thickness with time. The dissolution of various PMMA molecular weights (15 K, 350 K, 996 K) and resist thicknesses (20-300 nm), in various developers, consisting of mixtures of methyl iso butyl ketone (MIBK), iso propanol (IPA), H2O at various relative concentrations, was studied. Surface dissolution inhibition was shown in unexposed resists with high molecular weights and increased thickness in the case of MIBK-IPA 1-1 and IPA-H2O 7-3 developers. After that the whole dissolution process evolved at a steady rate. Dissolution of thick films proved to be unpredictable showing complex dissolution curves. Low molecular weight resists presented a smooth dissolution curve without dissolution inhibition. Samples exposed with small DUV doses, exhibited dissolution behavior similar to the unexposed cases, whereas high exposure doses, within the lithographically useful range, led to smooth dissolution behavior. In the case of MIBK-IPA 1-3 developer significant swelling was observed.  相似文献   

9.
As the size of microcircuit elements continues to decrease it is of importance to attempt to determine what fundamental factors might limit the width, and spacing, of lines fabricated by electron lithography when using conventional polymer resists. In this paper a new Monte Carlo simulation, which considers the contribution of both primary and secondary electrons, is used to model two special situations. Firstly, the case of a thin, freestanding, resist is considered to examine the factors which set a limit to the minimum attainable line width. Secondly, the case of a thin resist on a bulk substrate is considered to calculate the exposure conditions required for the highest resolution lithography in this condition.  相似文献   

10.
随着超大规模集成电路 (VLSI)图形密度的增大 ,邻近效应已成为光学光刻的关键问题之一。通常在平整硅片上对 0 5 μm图形采用 0 5 4NA和传统的单层i线抗蚀工艺时 ,密集图形和孤立图形间的线宽差异大约为 0 0 8μm。然而 ,这一线宽差异已严重地影响了实际生产的工艺稳定性。阐述了邻近效应对图形尺寸、线条与间隙占空比、衬底膜种类、曝光过程的散焦效应、与抗蚀剂厚度变化有关的抗蚀工艺条件和显影时间的依赖性。同时 ,采用 2种不同抗蚀剂实验监测了不同潜像对比度引起的关键尺寸 (CD)偏差。为减小实际图形因抗蚀剂厚度变化引起的CD差异 ,获得最佳抗蚀剂厚度 ,进行了一种模拟研究。  相似文献   

11.
The development of positive photoresist is assumed to be a surface limited reaction whose development rate is determined by the local inhibitor concentration M, as defined by Dill et al. This paper describes a technique for determining development rate as a function of inhibitor concentration and demonstrates the usefulness of the technique for quality control. Resist development parameters for the simulation of line edge profiles in resist are presented.  相似文献   

12.
《Optical Fiber Technology》2013,19(5):369-377
The structure of an active Erbium-Doped Distributed Bragg Reflector (ED-DBR) and a Fiber Bragg Grating (ED-FBG) is simulated using a rigorous transmission line model and an approximate version of the coupled-mode theory, respectively. The basic calculation steps and assumptions of the proposed simulation models are described and the key parameters that determine the devices’ reflection characteristics are in detail discussed. By applying the proposed transmission line model, numerical results are provided for both spectral reflectivity and transparency response for an active-slab DBR with increased erbium-ion concentration. In addition, using coupled-mode theory for the simulation of the cylindrical geometry of the ED-FBG device, the pump power-dependent reflection and transmission coefficients are obtained and their potential use in active sensor applications are discussed.  相似文献   

13.
多径信道中MPSK信号的调制识别算法   总被引:22,自引:0,他引:22  
针对多径信道中MPSK信号的调制分类问题,提出一种新的基于高阶累量的分类算法,算法所用特征对平坦衰落信道具有衰落不变性,对频率选择性衰落信道也具有很强的抗多径能力。与已有方法相比,本文算法极大地降低了对多径信道冲激响应模式的限制,更具适应性。理论分析和计算机仿真试验都证明了新分类算法的稳健性和有效性。  相似文献   

14.
This paper presents a robust Cellular Automata model which predicts the two dimensional development profile as a function of development time, exposure dose and electron beam resist type.The main advantage of CA model is that they exhibit high efficiency and accuracy when handling arbitrarily complex system. In the CA method, A resist is represented by an array of discrete cells that reside in a crystalline lattice. Development of the resist is represented by removal and of individual cell according to development rules. During development, the decision to remove or retain a particular cell is based on the link status of its lattice neighbors according to cell-removal rules, The link status is categorized by number of neighboring cells and their relative positions. The modeling approach also uses Monte-Carlo simulation of electron scattering and energy dissipation and a simple development rate versus dose model for the resist. An absolute quantitative evaluation of the simulation accuracy is made based on resist exposure-development measurement and comparisons with SEM micrographs of experimental profiles of PMMA, SAL601 and ZEP520.The comparisons show good quantitative agreement and indicate the model based on CA can be used as a quantitative processing aid. Simulation results illustrate the importance of resist, beam and dose.  相似文献   

15.
为了提高设备中电子元件抵御来自外界和内部其他元件的电磁干扰,根据传输线理论,将双层加载电路板屏蔽腔体模型转换为电路图,利用电路图推导出腔体中心屏蔽效能的等效公式。利用Matlab生成传输线法屏蔽效能曲线,并通过仿真软件CST建模仿真,仿真结果与Matlab输出曲线良好吻合,验证了公式的正确性。运用CST研究了一些因素如电路板大小、数量、放置方式以及距孔缝的距离对屏蔽效能的影响。为了更加贴合实际,采用加载集成运算放大电路的印制电路板来研究腔体屏蔽效能以及腔体对电路板功能的影响,最后提出了一些提高屏蔽效能的方法。  相似文献   

16.
本文利用元胞自动机的方法建立电子抗蚀剂显影模型,阐述了用该模型确立电子抗蚀剂显影后轮廓的方法,在结合相应的能量沉积模型和显影速率模型后,给出了电子抗蚀剂最终显影轮廓的模拟结果,并用ZEP520电子抗蚀剂进行实验验证.  相似文献   

17.
王惠平 《现代电子技术》2012,35(8):114-115,122
为了得到拟人机器人上肢关节控制电机的精确参数,通过建立直流电机的物理和数学模型,利用Matlab软件建模和仿真,找到了一个合适的PID控制方法,通过调节KP,KI,KD参数,系统获得了较高的控制精度和响应速度,几乎能够完全抵抗外部干扰,该仿真对拟人机器人手部控制节点的设计具有很重要的指导作用,对于一般的直流电机闭环控制也有一定的借鉴意义。  相似文献   

18.
We developed a series of algorithms, based on the string model, that simulate the inhomogeneous etching process of polymeric materials, which exhibit swelling during dissolution by an organic solvent, used in IC process fabrication. The swelling creates a gel layer between the solid (polymer) and the liquid (solvent) phases. Usually, simulations based on the string development model assume an abrupt solid-liquid interface. Our algorithms are capable of simulating two interrelated etch fronts, and may easily be extended to simulate n interrelated etch fronts. The speed with which the points of the gel-solid boundary advance depends on the distance of each point from the gel-liquid boundary. Considering the two boundaries as plane curves, one must deal with the problem of what we define as the “distance” of every point of the first curve from the second. The algorithm developed can deal with any pair of non-intersecting curves in two dimensions. Two pairs of curves were used to test the algorithm: the first with curves of a simple form, and the second with curves of a complicated form. Next we simulated the development process of an e-beam resist that exhibits swelling. The results were perfect for the minimum distance subalgorithm, and they predicted a longer development time for the e-beam resist compared with that required for a non-swelling resist.  相似文献   

19.
Two approximation methods for wiring delay in MOS LSI are studied. One is analytical and the other is a lumped circuit approximation. The basic model for wiring is a distributed CR line with a drive MOSFET at one end and a capacitive load at the other end. Simple approximated formulas for the delay and the step response of this model are obtained. Approximation of a distributed CR line by lumped Rs and C's combination, which is very useful when incorporated in circuit simulation programs, is also investigated. The widely used L ladder circuit model is found to be a poor approximation, while /spl pi/ and T ladder circuit models give satisfactory results. The simplest circuits that approximate the interconnection line within a given tolerant error are tabulated under various drive and load conditions.  相似文献   

20.
Effects of heavy atoms added into resist for dry-etch resistance enhancement were explored by computer simulation of electron trajectories and absorbed energy density distribution in electron-beam lithography. Heavy atoms cause lateral spread of electron trajectories and resolution degradation. It was expected, however, that resolution would be improved by thinning the resist, thanks to dry-etch resistance improvement. A guide for heavy-atom-containing resist development was derived as the minimum resistance enhancement factor for various metals. Etching durability to withstand oxygen plasma is important, since it is used in the pattern transfer step in the double-layer resist process, which would be a key technology for submicrometer lithography. Quarter-micrometer lines are easily defined on the stepped surface, using the double-layer method with a Si containing resist, as foreseen from the simulation.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号