首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 62 毫秒
1.
基于SOPC的DDS信号源的实现   总被引:2,自引:0,他引:2  
本文介绍了直接数字频率合成(DDS)的工作原理以及基于可编程片上系统(SOPC)实现DDS信号源。设计的DDS信号源以Cyclone器件为核心,用嵌入在FPGA中的N ios软核CPU作为控制来实现频率、相位和幅度的数字预制和步进,利用FPGA的RAM位放置正弦查找表,同时利用FPGA的逻辑单元实现相位累加等其它数字逻辑功能。实现了两路相位完全正交的DDS信号源。  相似文献   

2.
本文将FPGA器件和DDS技术相结合,确定了FPGA器件的整体设计方案。笔者利用FPGA器件规模大、设计灵活方便的特点,分析研究了用FPGA器件实现DDS系统的方法,并对其关键技术进行了优化处理,采用流水线结构的相位累加器设计和FPGA内嵌的波形存储器设计,在Quartus II软件中采用基于硬件描述语言(VHDL)的自顶向下的设计方法来完成仿真实验。  相似文献   

3.
基于FPGA的直接数字频率合成器的设计和实现   总被引:2,自引:0,他引:2  
介绍了直接数字频率合成(DDS)的工作原理、设计方法及如何用ALTERA公司的FPGA器件(FLEXIOK)来完成DDS设计。  相似文献   

4.
本文主要介绍了DDS的原理及通过FPGA来实现。  相似文献   

5.
介绍了MSK数字调制方式的原理和DDS芯片AD9854的结构特点,提出用DDS和AD9854来实现MSK调制,详细介绍了FPGA功能模块的软件编程。  相似文献   

6.
宋跃  胡升平  石伟 《电声技术》2005,(10):36-39
依据DDS基本原理,为实现单音调频波的DDS,提出了通过调整频率控制字来查表得到所需信号数字序列的思想,并进行了理论分析和研究,得到了计算方法,其结论用MATLAB 6,5进行了仿真,同时通过QuartusⅡ3.0应用到FPGA设计上,实践表明该方法是行之有效的。叙述了信号序列产生设计方法,重点介绍频率控制字分析和研究过程、FPGA实现方案及其仿真实验结果。  相似文献   

7.
目前实现DDS函数信号发生器的方式有MCU+DDS芯片方案、DSP+DDS芯片方案、MCU+FPGA方案、RAM+FPGA方案和SOPC方案。本文采用SOPC方案实现DDS函数信号发生器。  相似文献   

8.
提出了一种直接基于DDS芯片AD9851的信号源的设计方法.介绍了DDS模块的设计,并给出了DDS与FPGA接口电路、DDS信号互补输出电路、DDS七阶低通椭圆滤波电路、DDS信号缓冲放大电路、DDS晶振电路.通过FPGA控制DDS并直接向DDS发送频率控制字,产生常见的正弦波、方波,并实现了频率与相位可调.  相似文献   

9.
DDS是从相位的概念出发进行频率合成的一项新型技术。简要介绍了DDS的工作原理,提出了一种选用Altera公司不久前发布崭新体系的大容量Stratix II系列FPGA—EP2S60来实现DDS系统的核心部分的设计方案。并用Matlab语言将QUARTUSⅡ4.0波形仿真结果转换为波形曲线。实验结果表明,利用Altera公司的FPGA—EP2S60器件,通过各种优化措施,设计开发的DDS系统,达到了预期的目的,具有较高的性价比。  相似文献   

10.
基于FPGA实现的任意波形产生器   总被引:1,自引:1,他引:0  
介绍了DDS的原理和FPGA的特点,并在此基础上描述了基于FPGA的DDS的硬件和软件设计方案。  相似文献   

11.
张成  郑明辉 《电子设计工程》2012,20(21):176-179
首先介绍了采用直接数字频率合成(DDS)技术的正弦信号发生器的基本原理和采用FPGA实现DDS信号发生器的基本方法,然后结合DDS的原理分析了采用DDS方法实现的正弦信号发生器的优缺点,其中重点分析了幅度量化杂散产生的误差及其原因,最后针对DDS原理上存在的幅度量化杂散,利用FPGA时钟频率可调的特点,重点提出了基于FPGA实现的DDS正弦信号发生器的两种改进方法,经过MATLAB仿真验证,改进方法较好的抑制了幅度量化杂散,减小了误差。  相似文献   

12.
在分析载波移频信号特点的基础上,提出了用DDS结合正交调制器来实现移频调制的方法。该方法以FPGA为核心,结合外部DA、滤波器实现DDS,通过FPGA编程灵活快捷的实现固定移频、扫频、多音等多种移频调制;同时针对工程应用中对指标调试的需求,在传统DDS结构基础上,在FPGA内部引入参数调整模块,实现了载波抑制和输出功率指标的实时数字化调整。该方法具有结构简单,性能优良,可扩展性强,调试方便的特点。  相似文献   

13.
基于FPGA的DDS设计及实现   总被引:1,自引:0,他引:1  
针对DDS频率转换时间短,分辨率高等优点,提出了基于FPGA芯片设计DDS系统的方案。该方案利用Altera公司的QuartusⅡ开发软件,完成DDS核心部分即相位累加器和ROM查找表的设计,可得到相位连续、频率可变的信号,并通过单片机配置FPGA的E^2 PROM完成对DDS硬件的下栽,最后完成每个模块与系统的时序仿真。经过电路设计和模块仿真,验证了设计的正确性。由于FPGA的可编程性,使得修改和优化DDS的功能非常快捷。  相似文献   

14.
本文提出了一种采用直接数字合成DDS原理和延时相干解调原理实现最小频移键控MSK信号的调制与解调的新方法。与传统采用直接提取载波进行相干解调的方法相比较,本文采用的新方法.避免了载波提取困难的问题:本设计建立了系统模型给出了基于现场可编程门阵列FPGA和DDS原理的MSK信号调制与解调的详细的软硬件架构设计及关键核心模块的设计方案,采用FPGA以及专用DDS芯片AD9851实现了调制与解调系统。  相似文献   

15.
基于FPGA的直接数字频率合成器的实现   总被引:7,自引:0,他引:7  
由于直接数字频率合成器(DDS)具有其它频率合成器无法比拟的优势而受到青睐。介绍了DDS的基本原理和特点,以及利用现场可编程门阵列(FPGA)实现DDS的过程,给出了基于MATLAB仿真语言的波形仿真结果,利用FPGA器件设计DDS,大大地简化了电路设计过程,缩短了调试时间,提高了可靠性,FPGA的可编程性为修改、添加和优化DDS的功能提供了方便。  相似文献   

16.
直接数字频率合成器的设计及FPGA实现   总被引:17,自引:2,他引:15  
直接数字频率合成器(DDS)通常使用查表的方法实现相位和幅值的转换,文章介绍了一种基于CORDIC算法的DDS。CORDIC算法在三角函数合成上有着广泛的用途,作者从DDS的一般结构和CORDIC算法的基本原理出发.深入探讨了基于CORDIC算法的DDS各部件的结构和FPGA实现。  相似文献   

17.
2ASK/2FSK信号发生器的FPGA实现   总被引:1,自引:0,他引:1  
论述了DDS的基本原理,给出了利用FPGA实现基于DDS的2ASK/2FSK信号发生器的设计方法,重点介绍了其原理和电路,最后给出了基于FPGA设计的实验结果。  相似文献   

18.
直接数字频率合成器在FPGA中的设计与实现   总被引:2,自引:0,他引:2  
介绍了利用现场可编程逻辑门阵列FPGA实现直接数字频率合成(DDS)的原理、电路结构、优化方法等.重点介绍DDS技术在FPGA中的实现方法,给出了部分VHDL源程序.FPGA采用ALTERA公司的ACEX系列芯片EP1K30TC-144. 采用该方法设计的DDS系统可以很容易地嵌入到其他系统中而不用外接专用DDS芯片,具有高性能、高性价比,电路结构简单等特点.  相似文献   

19.
廖伟军 《移动通信》2012,(Z1):89-93
文章主要介绍了一种具有串口选控波形的基于FPGA的DDS核的设计与实现方法,通过DDS核可以产生任意波形信号。首先在FPGA中实现了DDS核设计,包括频率控制、相位控制、波形控制以及查表几个模块,FPGA将频率控制字、波形控制整合为地址,然后用该地址实现查表,查表后的数据向DA转换器输出;其次在FPGA中实现了串口通信和DA转换的FPGA控制,而串口主要完成输出波形的选择;最后整个设计通过FPGA开发平台得以验证,结果表明设计是正确有效的。  相似文献   

20.
提出了一种全数字时钟锁相环的设计方法,采用一种基于FPGA+DDS的设计,采用数字鉴相,用数字环路输出来控制DDS的输出频率,算法灵活,可移植性强,可广泛应用于调制解调器或其它电子设备的设计。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号