首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 555 毫秒
1.
2.
UV-based nanoimprint lithography (UV-NIL) is a cheap and fast way to imprint patterns ranging from nanometres to micrometres. However, commonly used equipment can be expensive and require a clean room infrastructure. Here we present the design and testing of a simple UV-NIL system based on a light emitting diode. The current design permits imprints of 10 × 10 mm2 in size using a 25 × 25 mm2 master. This printer can be used in a semi-clean environment such as a laminar flow bench. The imprinter was used to imprint photoresists as well as UV sensitised hydrogels. The best results were obtained using SU-8 photoresist with features down to 50 nm in size, only limited by the imprint master. Patterns in SU-8 resist were also transferred into silicon substrates by reactive ion etching demonstrating its full potential as a lithographic tool.  相似文献   

3.
Reflectivity degradation of grazing-incident extreme ultraviolet (EUV) mirror samples by EUV exposure was investigated in a commercial XTS 13-35 EUV source. The roughness of EUV exposed samples increases with an increase in exposure time due to the erosion of sample surface by ions and neutrals, or deposition of contaminant such as carbon on the sample surface. While energetic debris certainly affects mirror reflectivity, the loss in reflectivity observed in EUV exposed samples surpassed that which would be attributable simply to induced surface roughness through sputtering. Surface analysis of the EUV mirror sample surface after exposure confirmed that carbon contamination was present. Experimentally measured reflectivity of EUV mirrors showed degradation after EUV exposure due to the carbon contamination present in the investigated system. The measured reflectivity data were fitted by changing the carbon film thickness using a bi-layer mirror model in the CXRO simulator. The experimentally measured values of reflectivity are in good agreement with the simulation results. The contamination rate was found to be dependent on the carbon contamination thickness. The contamination rate is fast (7 × 10−5 nm/shot) in the beginning of contamination growth whereas it gets slower (2 × 10−5 nm/shot) as carbon builds up on the Ru mirror surface. An analytical model taking the sputtering by ions into account was developed to understand the variation of carbon contaminant deposition rate with exposure time. In our model, the fast contamination rate in the beginning of carbon buildup is explained by the interplay of photo electron emission and the varying sputtering yield of the growing carbon layer on the EUV mirror.  相似文献   

4.
《Microelectronic Engineering》2007,84(5-8):1049-1053
We designed and synthesized a new partially-protected polyphenol, 25X-MBSA-M, for which the position and number of protected hydroxyl groups have no dispersion, and evaluated the EUV patterning performance of a chemically amplified positive-tone resist based on it. EUV imaging experiments were performed using the high-numerical-aperture (NA = 0.3), small-field EUV exposure tool (HINA) at ASET and coherent illumination (σ = 0.0). Patterning results showed the resolution of the resist to be 28 nm at an EUV exposure dose of 12.2 mJ/cm2, the obtainable aspect ratio to be as high as 2, and the line-edge roughness (LER) to be small, with 3σ being 3.6 nm for 45-nm line-and-space patterns and an inspection length, L, of 2000 nm. In addition, pattern collapse was markedly suppressed.  相似文献   

5.
Very narrow SiO2 line patterns with extremely high aspect ratio are fabricated on a silicon wafer by new edge lithography process. The simple process without chemical vapor deposition process is developed. The Si step etching is carried out by F radical dominant etching by reducing the loading effect. The straight line of 25 nm width and 700 nm height is fabricated. The circular line with 40 nm width and 400 nm height is also fabricated. The aspect ratios for the straight and circular lines are 28 and 10, respectively. In order to the fabricate imprint mold, the fabricated narrow lines are replicated to a nickel by the electro forming. The nickel replica with 40 nm cavity width is successfully fabricated.  相似文献   

6.
This work describes the main challenges encountered for patterning crystalline silicon (c-Si) fins when we scaled down the fin pitch from 124 to 90 nm on a 6T-SRAM cell. The target fins consist of straight structures (40 nm height and 17 nm of critical dimension) patterned on a 22 nm node with 90 nm fin pitch. The patterning stack consists of 70 nm of amorphous carbon as a hard mask with 25 nm of antireflective coating. Scaling down the fin pitch had a direct influence on the fin critical dimension, profile and sidewall roughness. We found out that the fin etching process developed for a 32 nm node with 124 nm fin pitch was no longer functional for patterning fins on a 22 nm node with 90 nm fin pitch, i.e., the critical dimension was wider than the target, the fins sidewalls were isotropically attacked and the profile was sloped. In order to reach 17 nm of critical dimension on 90 nm pitch we had to implement a new hard mask opening step. The c-Si fin sidewall roughness and fin profile were tuned by improving the uniformity across the wafers, optimizing the softlanding etch time and introducing a new overetch step with notch capability.  相似文献   

7.
A commercial EUV light source is currently used in the MS-13 EUV Micro Exposure Tool (MET) produced by Exitech Ltd. The source uses a xenon z-pinch discharge to produce 13.5 nm light intended for use in extreme ultraviolet lithography (EUVL). During operation, an erosive flux of particles is ejected from the pinch plasma, contributing to limitations in the lifetime of nearby collector optics. A diagnostic chamber is presented that permits characterization of the debris fields present, exposure of optical samples, and evaluation of debris mitigation techniques. Available diagnostics include a Faraday cup, a spherical sector energy analyzer (ESA), and a EUV photodiode. This paper details the chamber design and initial results of source characterization. Faraday cup analysis shows that the maximum theoretical ion energy is 53 keV, ESA measurements show the presence of Xe+, Xe2+, Ar+, W+, and Mo+ ions, and microanalysis of exposed mirror samples is used to show the erosive effects of plasma exposure.  相似文献   

8.
There is growing interest in the use of chemically-amplified resists (CARs) such as SU-8 in the field of microelectromechanical systems (MEMS) research. This is due to its outstanding lithographic performance and its ability for use in the fabrication of stable structures with very high aspect ratio. However, it is important to control the processing conditions for optimum results in the desired application. In this investigation, the thickness (10-25 μm) of SU-8 resist film, due to different spin coating speeds on silicon wafers, was measured using Fourier transform infrared (FT-IR) spectroscopy. The effect of thermal-initiated cross-linking at various temperatures (95-160 °C) for 15 min baking time on the 25 μm SU-8 resist was studied by monitoring the 914 cm−1 absorption peak in the FT-IR spectrum. Results of the experiments showed that the onset of thermal-initiated cross-linking begins at about 120 °C. Furthermore, 25 μm SU-8 resist was optimized for X-ray lithographic applications by studying the cross-linking process of the resist under different conditions of post-exposure bake (PEB) temperatures. The exposure dose of soft X-ray (SXR) irradiation with energies about 1 keV from a dense plasma focus (DPF) device was fixed at 2500 mJ/cm2 on the resist surface. Results showed that the optimum processing conditions consisted of an intermediate PEB at 65 °C for 5 min, with the PEB temperature ramped up to 95 °C over 1.5 min and then followed by a final PEB at 95 °C for 5 min. The scanning electron microscopy (SEM) images showed SU-8 test structures successfully imprinted, without affecting the resolution, and with aspect ratios of up to 20:1 on 25 μm SU-8 resist.  相似文献   

9.
Experimental verification of a low temperature (<20 °C), reactive plasma etch process for copper films is presented. The plasma etch process, proposed previously from a thermochemical analysis of the Cu-Cl-H system, is executed in two steps. In the first step, copper films are exposed to a Cl2 plasma to preferentially form CuCl2, which is volatilized as Cu3Cl3 by exposure to a H2 plasma in the second step. Plasma etching of thin films (9 nm) and thicker films (400 nm) of copper has been performed; chemical composition of sample surfaces before and after etching has been determined by X-ray photoelectron and flame atomic absorption spectroscopies.  相似文献   

10.
The role of N2 on GaAs etching at 150 mTorr capacitively-coupled Cl2/N2 plasma is reported. A catalytic effect of N2 was found at 20-25% N2 composition in the Cl2/N2 discharges. The peak intensities of the Cl2/N2 plasma were monitored with optical emission spectroscopy (OES). Both atomic Cl (725.66 nm) and atomic N (367.05 nm) were detected during the Cl2/N2 plasma etching. With the etch rate and OES results, we developed a simple model in order to explain the etch mechanism of GaAs in the high pressure capacitively-coupled Cl2/N2 plasma as a function of N2 ratio. If the plasma chemistry condition became positive ion-deficient at low % N2 or reactive chlorine-deficient at high % N2 in the Cl2/N2 plasma, the GaAs etch rate is reduced. However, if the plasma had a more balanced ratio of Cl2/N2 (i.e. 20-25% N2) in the plasma, much higher etch rates (up to 150 nm/min) than that in pure Cl2 (50 nm/min) were produced due to synergetic effect of neutral chlorine adsorption and reaction, and positive ion bombardment. Pure Cl2 etching produced 14 nm of RMS surface roughness of GaAs. Introduction of ?20% N2 gas in Cl2/N2 discharges significantly reduced the surface roughness to 2-4 nm. SEM photos showed that the morphology of photoresist mask was strongly degraded. Etch rate of GaAs slightly increased from 10 to 40 nm/min when RIE chuck power changed from 10 to 150 W at 12 sccm Cl2/8 sccm N2 plasma condition. The surface roughness of GaAs etched at 12 sccm Cl2/8 sccm N2 plasma was 2-3 nm.  相似文献   

11.
Ultra-thin (20-100nm) polymethylmethacrylate(PMMA) films prepared by Langmuir-Blodgett techniques have been explored as high resolution electron beam resists. A Hitachi S-450 Scanning Electron Microscope (SEM) has been refitted for a high resolution electron beam exposure system. The lithographic properties and exposure conditions of LB PMMA films were investigated. 0.15μm lines-and-spaces patterns were achieved by using the SEM as the exposure tool. The results demonstrate that the etch resistance of such films is sufficiently good to allow patterning of a 20 nm aluminum film suitable for mask fabrication.  相似文献   

12.
Generating suitable passivation on the carbon sidewall is a major challenge facing carbon etching especially for films thicker than 500 nm. Patterning carbon hard mask stacks for sub 90 nm technologies was tested for three different O2-based chemistries using an inductively coupled plasma etch tool. The results show that the etched carbon profiles are highly dependant upon the O2 flow and the total time of the etch process. Extended over etch times quite often initiates lateral etching and rapid loss of profile and critical dimension. An HBr/O2/N2 chemistry has been shown to provide the best options for profile control and more resistance to profile loss during extended over etching than the other chemistries which were tested during this study.  相似文献   

13.
《Microelectronic Engineering》2007,84(5-8):1084-1087
We have designed and synthesized a molecular resist material, which has no distribution of the protecting groups and have evaluated its performance as a molecular resist with EB and EUV exposure tool. The molecular resist attained a resolution of sub-45 nm patterning at an exposure dose of 12 mJ/cm2. It was found that controlling the distribution of the protecting groups in a molecular resist material has a great impact on improving line edge roughness (LER). Low LER values of 3.1 nm (inspection length: L = 620 nm) and 3.6 nm (L = 2000 nm) were achieved with this molecular resist using Extreme UltraViolet (EUV) lithography tool.  相似文献   

14.
Co-Pt nanodot arrays of 50 nm in diameter and 100 nm pitch were fabricated by nanoimprint lithography and electrodeposition process. A polymer mold used was replicated from a Si master mold with nanopatterns which were fabricated by EBL and ICP-RIE, where hydrophobic surface of these was achieved by FOTS coating. UV-NIL was successfully performed under pressures of 5 MPa for 5 min with an UV exposure time of 30 s, where the substrate was Ru (30 nm)/NiFe (10 nm)/Ta (5 nm)/Si (1 0 0). The size of patterns was measured at 53 nm in diameter, 25 nm in height, 100 nm in pitch. Finally, Co-Pt nanodot arrays were galvanostatically electrodeposited and characterized. The size and the composition of these arrays were measured to be 50 nm in diameter and 100 nm in pitch and Co-23.6 at.% Pt, respectively. According to MFM analysis, these arrays for the remnant states represent a single domain structure of perpendicular direction with a magnetic field, where a field of 15 kOe was applied perpendicular to the sample plane. These results show that for the Co-Pt dot arrays of 50 nm diameter perpendicular magnetic signal can be recorded and switched.  相似文献   

15.
Deep reactive ion etching (DRIE) of borosilicate glass was carried out using SF6 and SF6/Ar plasmas in an inductively coupled plasma (ICP) reactor. Electroplated Ni on Cu (≅50 nm)/Cr (≅100 nm)/glass structure using patterned SU-8 photoresist mask with a line spacing of 12-15 μm was used as a hard-mask for plasma etching. Plasma etching of borosilicate glass was performed by varying the various process parameters such as the gas chemistry, the gas flow ratio, the top electrode power, and the dc self-bias voltage (Vdc). In the case of using SF6 gas only, the profiles of the etched channel showed the undercut below the Ni hard-mask due to a chemical etching and the microtrenching at the bottom of the etched channel. An optimized process using the SF6 plasmas showed the glass etch rate of ≅750 nm/min. The addition of the Ar gas to the SF6 gas removed the undercut and microtrenching but decreased the etch rate to ≅540 nm/min. The increasing and decreasing time-dependent etch rates with the etch depth in the SF6 (200 sccm) and SF6(60%)/Ar(40%) plasmas, respectively, were ascribed to the different ion-to-neutral flux ratios leading to the different etch process regime.  相似文献   

16.
For the application of parallel microplasma etching, cantilever arrays with nano-aperture hollow pyramid tips have been successfully fabricated. The SiO2 cantilever arrays and hollow tips are formed by thermal oxidation on a Si (1 0 0) wafer with pyramid cavities. Due to the stress-dependent nonuniform oxidation, the oxide thickness is about 400 nm at the tip apexes which is much thinner than the 1.2 μm thick sidewalls. Based on these nonuniform oxide hollow tips, nano-apertures of 50-200 nm in diameter are obtained at the apexes after the following 1:10 water-diluted HF isotropic etching. The base widths of the hollow tips are designed to be 50 and 100 μm with the final sidewall thickness of only 600 nm. Consequently, the width-thickness ratio (hollow pyramid tip base width/sidewall thickness) is up to 150:1. Some improvements are made in the fabrication process and these fragile tips are obtained with a product yield of more than 90%. Then, cantilever arrays with hollow tips are released consistently and the bending behavior is discussed. In addition, preliminary experiments and simulations of microplasma generation and extraction confirm the application feasibility of this structure in parallel microplasma etching.  相似文献   

17.
In this paper, RIE of thick undoped silicate glass (USG) films for various applications is described. Though moderate etch rates (∼0.4 μm/min) were achieved for USG film, process development was quite challenging due to stringent requirements of deep USG etching (>6 μm) and vertical profile. Alternatively, etch process with higher etch rate (1.0 μm/min) was also evaluated for profile angle and results were found not comparable to low etch rate process. However, low etch rate process was found to have tool limitations and an alternate method called ‘discrete etching’ was proposed and successfully verified. Promising results were obtained with etch depth (6 μm) and vertical profile (∼89.50°) in USG as well as SiON films. Based on the results discrete etching method was found to have provided advantage of unlimited capability for deep etching.  相似文献   

18.
We present a lithography process using electron beam lithography with an optical resist AZnLOF 2020 for pattern transfer. High-resolution 100 keV electron beam lithography in 400 nm layers of negative resist AZnLOF 2020 diluted 10:4 with PMGEA is realized. After the electron beam lithography process, the resist is used as a mask for reactive ion etching. We performed the transfer of patterns by RIE etching of the substrate allowing a final resolution of 100 nm. We demonstrate the patterning in an insulating layer, thus simplifying the fabrication process of various multilayer devices; proximity correction has been applied to improve pattern quality and also to obtain lines width according to their spacing. This negative resist is removed by wet etching or dry etching, could allow combining pattern for smallest size down to 100 nm by EBL techniques and for larger sizes by traditional lithography using photomask.  相似文献   

19.
The influence of the aluminum nitride (AlN) film texture on the chemical etching in KOH solution was invested. The AlN films with the different texture and crystal quality were prepared by sputtering. It is found that the chemical etching behaviors, including the etch rate, the activation energy, the surface morphology and the anisotropy, are strongly dependent on the film texture. There is a faster etching in the case of mixed (1 0 0) and (0 0 2) texture and a lower rate in the case of only (0 0 2) texture. The etch rate also decreases with the crystal quality. The sample with the only (0 0 2) texture forms discontinuous column structure after etching and exhibits lower porosity compared to that of the mixed (1 0 0) and (0 0 2) texture. Due to the strong anisotropy of the AlN wurtzite structure, the morphology of the film deposited at 700 °C shows the homogeneous pyramid shape after etching. The cross-section micrographs of etching patterns indicate that the anisotropy of the chemical etching is improved with the improving of the crystal quality.  相似文献   

20.
Soft lithography and self-assembly provide powerful means of organizing colloidal solution of synthesized nanoparticles (NPs) for a wide variety of application. Pattern transfer of silicon dioxide (SiO2) nanoparticles-polymethylmethacylate (PMMA) nanocomposite was investigated using two such soft lithographic techniques, micro molding in capillaries (MIMIC) and micro transfer molding (μTM) using an elastomeric stamp in Polydimethyl siloxane (PDMS). Nanocomposite periodic arrays of 20 μm wide and 10 μm deep lines with 10 μm spacing were obtained over approximately 1 cm2 area on silicon substrates by μTM and MIMIC using a 3 wt.% monodisperse silica nanoparticles (∼338 ± 2 nm) in polymethyl methacrylate (PMMA) solution. In addition, free standing nanocomposite self-standing films of centimeter size were also manufactured by μTM. Single line of nanocomposite could also be obtained using MIMIC with a lower concentration of silica NPs (0.25 wt.%) in PMMA.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号