首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 62 毫秒
1.
An extreme ultraviolet (EUV) interference lithographic exposure tool was installed at the long undulator beamline in NewSUBARU to evaluate EUV resists for 25 nm node and below. The two-window transmission grating of 40 and 50 nm half pitch (hp) were fabricated with techniques of spattering, electron beam lithography, dry etching and wet etching. hp patterns (20 and 25 nm) of chemically amplified resist (CAR) and non-CAR were successfully replicated using the EUV interference lithographic exposure tool.  相似文献   

2.
We present a method to obtain Si-fins with a critical dimension (CD) below 20 nm, separated by a minimum distance of 25 nm and connected by a common source/drain (S/D) pad. The method comprises of recursive spacer defined patterning to quadruple the line density of a 350 nm pitch resist pattern defined by 193 nm lithography. Spacer defined patterning is combined with resist based patterning to simultaneously define fins and S/D pads in a Silicon on Insulator (SOI) film. CD and Line Width Roughness (LWR) analysis was done on top down SEM images taken in a center die and in an edge die of a 200 mm wafer. The average CD is 17 nm in the center of the wafer and 18 nm at the edge. The LWR is 3 nm for both center and edge. Additional process steps to remove etch damage and round the top corner of the fin (i.e. oxidation followed by H2 anneal) further reduce the CD to 13 nm.  相似文献   

3.
《Microelectronic Engineering》2007,84(5-8):1084-1087
We have designed and synthesized a molecular resist material, which has no distribution of the protecting groups and have evaluated its performance as a molecular resist with EB and EUV exposure tool. The molecular resist attained a resolution of sub-45 nm patterning at an exposure dose of 12 mJ/cm2. It was found that controlling the distribution of the protecting groups in a molecular resist material has a great impact on improving line edge roughness (LER). Low LER values of 3.1 nm (inspection length: L = 620 nm) and 3.6 nm (L = 2000 nm) were achieved with this molecular resist using Extreme UltraViolet (EUV) lithography tool.  相似文献   

4.
Advanced lithography requires resolution enhancement techniques (customized illumination mode, litho friendly design), and alternative process flow schemes (double exposure, double patterning) in order to meet the requirements of the ITRS technology roadmap and to extend the applications of a full-field scanner with a 1.35 numerical aperture (NA) that represents the physical limit of water-based immersion ArF lithography.Today, one of the most interesting alternative processes uses the patterning inversion through a negative tone development (NTD) process step. Traditionally, the patterning (contacts or trenches) is done by using a dark field mask in combination with positive tone resist and positive tone development (PTD). By using a solvent-based developer (NTD) and a bright field mask, the same features can be transferred into a positive resist with the benefit of better image contrast and, consequently, better line width roughness (LWR) and resolution.In this work we have explored the potential applications of NTD for trenches and contact holes for the 45 nm technology node requirements and beyond. The NTD process is a promising option considering the impact on process window, LWR, CD uniformity and defectivity. The experimental result of this alternative approach to print critical dark field levels in an advanced lithography boundary has been explored.  相似文献   

5.
We present a lithography process using electron beam lithography with an optical resist AZnLOF 2020 for pattern transfer. High-resolution 100 keV electron beam lithography in 400 nm layers of negative resist AZnLOF 2020 diluted 10:4 with PMGEA is realized. After the electron beam lithography process, the resist is used as a mask for reactive ion etching. We performed the transfer of patterns by RIE etching of the substrate allowing a final resolution of 100 nm. We demonstrate the patterning in an insulating layer, thus simplifying the fabrication process of various multilayer devices; proximity correction has been applied to improve pattern quality and also to obtain lines width according to their spacing. This negative resist is removed by wet etching or dry etching, could allow combining pattern for smallest size down to 100 nm by EBL techniques and for larger sizes by traditional lithography using photomask.  相似文献   

6.
《Microelectronic Engineering》2007,84(5-8):690-693
Near-field lithography (NFL) has no fundamental limit such as the diffraction limit of light. However, in order to fabricate resist patterns with hp 32 nm, thorough optimization of various processes are indispensable. Previously, we reported on the use of fine and ultra-thin top-layer resist, and designs and fabrication of our special masks. In this paper, the effect of the total resist thickness on the near-field distribution is analyzed by the finite-difference time domain analyses and compared with our experiments. For the fabrication of hp 32 nm patterns, the total resist thickness as well as the tri-layer resist process are accordingly optimized. By the near-field exposure using an i-line mercury lamp and the dry-etching process for thin top-layer photo-resist, we have successfully fabricated the hp 32 nm resist pattern of 120 nm height.  相似文献   

7.
Current resist materials cannot simultaneously meet the sensitivity, resolution and line width roughness (LWR) requirements set out by the International Technology Roadmap for Semiconductors (ITRS) for the 32nm node and beyond. Here we present a fullerene‐based, chemically amplified resist system, which demonstrates the potential to fulfill these requirements for next generation lithography. A chemically amplified fullerene resist was prepared, consisting of the derivative MF07‐01, an epoxide crosslinker, and a photoacid generator, such as triarylsulfonium hexafluoroantimonate. The sensitivity of this resist was shown to be between 5 and 10 µC cm?2 at 20 keV for various combinations of post‐application bake and post‐exposure bake conditions. Using 30 keV electron beam exposure, sparse patterns with 15 nm resolution were demonstrated, whilst for dense patterns a half‐pitch of 25 nm could be achieved. The LWR for the densely patterned features is ~4 nm. The etch durability of the fullerene CA system was shown to be comparable to that of SAL601, a common novolac‐based commercial resist, at almost four times that of silicon.  相似文献   

8.
In ArF lithography for sub-80 nm L/S, amorphous carbon layer (ACL) deposition becomes an inevitable process, because thin ArF resist itself cannot provide suitable etch selectivity to sub-layers. One of the problems of the ACL hardmask is the presence of surface particles, which are more problematic in mass production. Limited capacity, high cost-of-ownership, and low process efficiency also make ACL hardmasks a dilemma, which cannot be ignored by device makers. One of the answers to these problems is using a spin-on organic hardmask (SOH) material instead of ACL hardmask. Therefore, several processes including bi-layer resist process, tri-layer resist process (TLR), and multi-layer resist process have been investigated. In this paper, we have described new SOH materials applicable to 70 nm memory devices. Applications to the TLR were investigated in terms of photo property, etch property and process compatibility. Based on the test results described in this paper, our spin-on organic hardmask materials are expected to be used in mass production.  相似文献   

9.
In this paper, a new hybrid method to fabricate submicron photon sieve is proposed, where the E-beam lithography and the X-ray lithography are used. It is found that 2.8 μm thickness of the polyimide film, 400 nm thickness of the ZEP-520 and 280 μC/cm2 exposure dose are good for E-beam lithography, while 500 nm thickness of the PMMA and 30 s developing time are good for X-ray lithography. We have successfully fabricated the photon sieve with these parameters (the diameter of photon sieve: 250 μm, the focal length: 150 μm, the diameter of the outmost pinhole: 420 nm). Some key techniques of this method are analyzed respectively, and the error analysis are done at the end of this paper. It provides a direction of nanoscale optical element fabrication with higher resolution and lower cost.  相似文献   

10.
In this paper we present results on the synthesis of a hybrid organic/inorganic resist based on polyhedral oligomeric silsesquioxane cages and used it in a thermal nanoimprint lithography process. Our resist has been developed in order to be uniformly spin coated on silicon substrates, imprinted at a reduced temperature (40 °C), then cross-linked first at elevated temperatures (>120 °C) during the imprinting process and then by exposure to UV radiation outside the imprinting tool. With this process, a low shrinkage rate of the resist is achieved after cross-linking, combined with high mechanical and thermal properties.  相似文献   

11.
《Microelectronic Engineering》2007,84(5-8):1049-1053
We designed and synthesized a new partially-protected polyphenol, 25X-MBSA-M, for which the position and number of protected hydroxyl groups have no dispersion, and evaluated the EUV patterning performance of a chemically amplified positive-tone resist based on it. EUV imaging experiments were performed using the high-numerical-aperture (NA = 0.3), small-field EUV exposure tool (HINA) at ASET and coherent illumination (σ = 0.0). Patterning results showed the resolution of the resist to be 28 nm at an EUV exposure dose of 12.2 mJ/cm2, the obtainable aspect ratio to be as high as 2, and the line-edge roughness (LER) to be small, with 3σ being 3.6 nm for 45-nm line-and-space patterns and an inspection length, L, of 2000 nm. In addition, pattern collapse was markedly suppressed.  相似文献   

12.
Reflectivity degradation of grazing-incident extreme ultraviolet (EUV) mirror samples by EUV exposure was investigated in a commercial XTS 13-35 EUV source. The roughness of EUV exposed samples increases with an increase in exposure time due to the erosion of sample surface by ions and neutrals, or deposition of contaminant such as carbon on the sample surface. While energetic debris certainly affects mirror reflectivity, the loss in reflectivity observed in EUV exposed samples surpassed that which would be attributable simply to induced surface roughness through sputtering. Surface analysis of the EUV mirror sample surface after exposure confirmed that carbon contamination was present. Experimentally measured reflectivity of EUV mirrors showed degradation after EUV exposure due to the carbon contamination present in the investigated system. The measured reflectivity data were fitted by changing the carbon film thickness using a bi-layer mirror model in the CXRO simulator. The experimentally measured values of reflectivity are in good agreement with the simulation results. The contamination rate was found to be dependent on the carbon contamination thickness. The contamination rate is fast (7 × 10−5 nm/shot) in the beginning of contamination growth whereas it gets slower (2 × 10−5 nm/shot) as carbon builds up on the Ru mirror surface. An analytical model taking the sputtering by ions into account was developed to understand the variation of carbon contaminant deposition rate with exposure time. In our model, the fast contamination rate in the beginning of carbon buildup is explained by the interplay of photo electron emission and the varying sputtering yield of the growing carbon layer on the EUV mirror.  相似文献   

13.
Poly(methyl methacrylate) (PMMA) is a commonly used resist for electron-beam lithography. Some primary reasons for the widespread popularity of PMMA include high resolution and low cost. Single layer PMMA has notably poor characteristics in metal liftoff and sub-15 nm resolution as well as poor line edge roughness. Standard problems with liftoff such as tags, feature removal and lack of solvent penetration were alleviated with a poly-imide lift-off layer which increased resolution and allowed better liftoff. The effect of dense feature proximity over-dose was also reduced with this method. Single lines in metal as small as 23 nm were achieved and denser patterns were resolved with a pitch of 50 nm. These results increase the utility of PMMA as a nanolithographic material for fabricating small metallic features by the use of a liftoff technique.  相似文献   

14.
A novel liquid photo-polymerization resist was prepared for nanoimprint lithography on transparent flexible plastic substrates. The resist is a mixture of polymethylmethacrylate (PMMA), methylmethacrylate (MMA), methacylic acid (MAA) and two photo-initiators, (2-isopropyl thioxanthone (ITX) and ethyl 4-(dimethylamino)benzoate (EDAB)). The resist can be imprinted at room temperature with a pressure of 0.25 kg/cm2, and then exposed from the transparent substrate side using a broad band UV lamp to obtain nano- and micro-scale patterns. Replications of high-density line and space patterns with resolution of 150 nm were obtained on a flexible indium tin oxide/poly(ethylene terephthalate) (ITO/PET) substrate. The liquid resist has low viscosity due to the liquid monomers, and low shrinkage due to the addition of PMMA as a binder.  相似文献   

15.
A commercial EUV light source is currently used in the MS-13 EUV Micro Exposure Tool (MET) produced by Exitech Ltd. The source uses a xenon z-pinch discharge to produce 13.5 nm light intended for use in extreme ultraviolet lithography (EUVL). During operation, an erosive flux of particles is ejected from the pinch plasma, contributing to limitations in the lifetime of nearby collector optics. A diagnostic chamber is presented that permits characterization of the debris fields present, exposure of optical samples, and evaluation of debris mitigation techniques. Available diagnostics include a Faraday cup, a spherical sector energy analyzer (ESA), and a EUV photodiode. This paper details the chamber design and initial results of source characterization. Faraday cup analysis shows that the maximum theoretical ion energy is 53 keV, ESA measurements show the presence of Xe+, Xe2+, Ar+, W+, and Mo+ ions, and microanalysis of exposed mirror samples is used to show the erosive effects of plasma exposure.  相似文献   

16.
We fabricated 9-30 nm half-pitch nested Ls and 13-15 nm half-pitch dot arrays, using 2 keV electron-beam lithography with hydrogen silsesquioxane (HSQ) as the resist. All structures with 15 nm half-pitch and above were fully resolved. We observed that the 9 and 10-nm half-pitch nested Ls and the 13-nm-half-pitch dot array contained some resist residues. We obtained good agreement between experimental and Monte-Carlo-simulated point-spread functions at energies of 1.5, 2, and 3 keV. The long-range proximity effect was minimal, as indicated by simulated and patterned 30 nm holes in negative-tone resist.  相似文献   

17.
Polycrystalline diamond with optical quality has been patterned using nanoimprint lithography. Nanoimprint lithography is a rather new method for fabrication of resist structures with features sizes down to at least 20 nm. The pattern used in this article is a grating with a period of 600 nm and a fill factor of 0.5. Using plasma etching the nanoimprinted grating is etched into a freestanding diamond substrate. We have accomplished the fabrication of 300 nm diamond features with a depth of about 2 μm, which corresponds to an aspect ratio of 7.  相似文献   

18.
As a potential candidate for the next generation of nanolithography, nanoimprint lithography (NIL) has drawn ever-increasing worldwide attention. It involves physical contact to overcome the optical limits occurring in sub-100 nm photolithography. Affordable tool cost is one of major attractive points of NIL. This work proposes the idea of incorporating carbon nanotubes (CNTs) in the resin used for ultraviolet nanoimprinting (UV-NIL). CNTs can make the resin electrically conductive when mixed with it. Patterns imprinted in the CNT-mixed resist can then be used to replace conductive metal structures directly. This enhances the productivity of basic UV-NIL where the imprinted patterns are used as sacrificial etch masks. In this work, several types of CNTs were purified chemically and dispersed before being mixed with UV-NIL resin using ultrasonic vibration. On drops of CNT-mixed resin, soft UV-NIL was performed using a polydimethylsiloxane (PDMS) stamp with a minimum feature size in the range of 200 nm. Even with increased resin viscosity due to the addition of CNTs, UV imprinting down to 200 nm was successfully done with moderate pattern fidelity. The loading rate of nanotubes should be minimized to prevent the increased viscosity from degrading the pattern transfer resolution. The electrical conductivity of CNT-mixed resist increases with the loading of CNTs. Therefore, the trade-off between the electrical properties and pattern transfer resolution needs to be optimized carefully.  相似文献   

19.
亚65 nm及以下节点的光刻技术   总被引:2,自引:0,他引:2  
徐晓东  汪辉 《半导体技术》2007,32(11):921-925
由于193 nm浸入式光刻技术的迅速发展,它被业界广泛认为是65 nm和45 nm节点首选光刻技术.配合双重曝光技术,193 nm浸入式光刻技术还可能扩展到32 nm节点,但是光刻成本会成倍增长,成品率会下降.随着ASML在2006年推出全球第一款EUV曝光设备,人们纷纷看好EUV技术应用到32 nm及以下节点,但是它仍需克服很多技术和经济上的挑战.对于22 nm节点,电子束直写是最可行,成本最低的候选方案,业界将在它与EUV技术之间做出抉择.  相似文献   

20.
硅集成电路光刻技术的发展与挑战   总被引:19,自引:2,他引:17  
从微电子集成电路技术发展的趋势,介绍了集成电路技术发展对光刻曝光技术的需求,综述了当前主流的DUV光学曝光技术和新一代曝光技术中的157nm光学曝光、13nm EUV曝光、电子束曝光、X射线曝光、离子束曝光和纳米印制光刻技术的发展状况及所面临的技术挑战.同时,对光学曝光技术中采用的各种分辨率增强技术如偏轴照明(OAI)、光学邻近效应校正(OPC)、移相掩膜(PSM)、硅片表面的平整化、光刻胶修剪(resist trimming)、抗反射功能和表面感光后的多层光刻胶等技术的原理进行了介绍,并对不同技术时代可能采用的曝光技术作了展望性的评述.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号