首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 78 毫秒
1.
Wormhole networks have traditionally used deadlock avoidance strategies. More recently, deadlock recovery strategies have begun to gain acceptance. In particular, progressive deadlock recovery techniques allocate a few dedicated resources to quickly deliver deadlocked packets. Deadlock recovery is based on the assumption that deadlocks are rare; otherwise, recovery techniques are not efficient. Measurements of deadlock occurrence frequency show that deadlocks are highly unlikely when enough routing freedom is provided. However, networks are more prone to deadlocks when the network is close to or beyond saturation, causing some network performance degradation. Similar performance degradation behavior at saturation was also observed in networks using deadlock avoidance strategies. In this paper, we take a different approach to handling deadlocks and performance degradation. We propose the use of an injection limitation mechanism that prevents performance degradation near the saturation point and, at the same time, reduces the probability of deadlock to negligible values. We also propose an improved deadlock detection mechanism that uses only local information, detects all deadlocks, and considerably reduces the probability of false deadlock detection over previous proposals. In the rare case when impending deadlock is detected, our proposal consists of using a simple recovery technique that absorbs the deadlocked message at the current node and later reinjects it for continued routing toward its destination. Performance evaluation results show that our new approach to handling deadlock is more efficient than previously proposed techniques  相似文献   

2.
Two general approaches have been proposed for deadlock handling in wormhole networks. Traditionally, deadlock-avoidance strategies have been used. In this case, either routing is restricted so that there are no cyclic dependencies between channels or cyclic dependencies between channels are allowed provided that there are some escape paths to avoid deadlock. More recently, deadlock recovery strategies have begun to gain acceptance. These strategies allow the use of unrestricted fully adaptive routing, usually outperforming deadlock avoidance techniques. However, they require a deadlock detection mechanism and a deadlock recovery mechanism that is able to recover from deadlocks faster than they occur. In particular, progressive deadlock recovery techniques are very attractive because they allocate a few dedicated resources to quickly deliver deadlocked messages, instead of killing them. Unfortunately, distributed deadlock detection is usually based on crude time-outs, which detect many false deadlocks. As a consequence, messages detected as deadlocked may saturate the bandwidth offered by recovery resources, thus degrading performance. Additionally, the threshold required by the detection mechanism (the time-out) strongly depends on network load, which is not known in advance at the design stage. This limits the applicability of deadlock recovery on actual networks. We propose a novel distributed deadlock detection mechanism that uses only local information, detects all the deadlocks, considerably reduces the probability of false deadlock detection over previously proposed techniques, and is not significantly affected by variations in message length and/or message destination distribution.  相似文献   

3.
Handling deadlocks is essential for providing reliable communication paths between processing nodes in parallel computer systems. The existence of multiple message types and associated inter-message dependencies may cause message-dependent deadlocks in networks that are designed to be free of routing deadlock. Most methods currently used for dealing with message-dependent deadlocks require more system resources than are necessary and/or do not use system resources efficiently. This may have an adverse effect on system performance if resources are scarce. In this paper, we characterize the frequency of message-dependent deadlocks in multiprocessor/multicomputer systems. We also propose a handling technique for message-dependent deadlocks based on progressive deadlock recovery and evaluate its performance with other approaches. Results show that message-dependent deadlocks occur very infrequently under typical circumstances thus, rendering approaches based on avoiding them overly restrictive in the common case. The proposed technique relaxes restrictions considerably, allowing the routing of packets and the handling of message-dependent deadlocks to be much more efficient-particularly when network resources are scarce.  相似文献   

4.
Wormhole routing is a popular routing technique used in network-on-chip. It is efficient but susceptible to deadlock, while deadlock will significantly degrade the network performance of NoC. Most existing adaptive wormhole routings avoid deadlock by reducing the degree of adaptiveness and thus sacrificing network performance. In this paper, we address both deadlock and network performance issues jointly, and propose a probabilistic odd–even (POE) routing algorithm that achieves the minimum packet delivery delay. The proposed POE dynamically adjusts the probabilities of constrained turns that may lead to deadlocks according to the current network conditions, and uses an efficient deadlock detection and recovery scheme when a deadlock happens. By adopting constrained turns adaptively to the network status, it not only reduces the frequency of deadlock and allows the network to be swiftly recovered when it occurs, but also greatly improves the degree of adaptiveness to obtain high network performance. Experimental results show that our method achieves a significant performance improvement both in terms of network throughput and average packet latency compared with the existing methods such as XY, odd–even, abacus turn model and fully adaptive routing algorithm while it only has moderate energy consumption.  相似文献   

5.
Efficient and reliable communication is essential for achieving high performance in a networked computing environment. Finite network resources bring about unavoidable competition among in-flight network packets, resulting in network congestion and, possibly, deadlock. Many techniques have been proposed to improve network performance by efficiently handling network congestion and potential deadlock. However, none of them provide an efficient way of accelerating the movement of network packets in congestion toward their destinations. In this paper, we propose a new mechanism for detecting and resolving network congestion and potential deadlocks. The proposed mechanism is based on efficiently tracking paths of congestion and increasing the scheduling priority of packets along those paths. This acts to throttle other packets trying to enter those congested regions - in effect, locking out packets from congested regions until congestion has had the opportunity to disperse. Simulation results show that the proposed technique effectively disperses network congestion and is also applicable in helping to resolve potential deadlock.  相似文献   

6.
For pt.I see ibid., vol.16, no.5, p.412-427 (2005). Dynamic network reconfiguration is defined as the process of changing from one routing function to another while the network remains up and running. The main challenge is in avoiding deadlock anomalies while keeping restrictions on packet injection and forwarding minimal. Current approaches either require virtual channels in the network or they work only for a limited set of routing algorithms and/or fault patterns. In this paper, we present a methodology for devising deadlock free and dynamic transitions between old and new routing functions that is consistent with newly proposed theory [J. Duato et al., (2005)]. The methodology is independent of topology, can be applied to any deadlock-free routing function, and puts no restrictions on the routing function changes that can be supported. Furthermore, it does not require any virtual channels to guarantee deadlock freedom. This research is motivated by current trends toward using increasingly larger Internet and transaction processing servers based on clusters of PCs that have very high availability and dependability requirements, as well as other local, system, and storage area network-based computing systems.  相似文献   

7.
We present a simple distributed algorithm that resolves store-and-forward deadlocks in data communication networks. The basic idea of the algorithm is to detect cycles of nodes that may cause store-and-forward deadlocks, and to rotate packets along these cycles. The algorithm uses a fixed amount of storage in each node for its execution, and, under reasonable assumptions upon the routing and packet handling, it ensures that packets that enter the network arrive at their destinations in finite time.  相似文献   

8.
High-speed local area networks (LANs) consist of a set of switches interconnected by point-to-point links, and hosts linked to those switches through a network interface card. High-speed LANs may change their topology due to switches being turned on/off, hot expansion, link remapping, and component failures. In these cases, a distributed reconfiguration protocol analyzes the topology, computes the new routing tables, and downloads them to the corresponding switches. Unfortunately, in most cases, user traffic is stopped during the reconfiguration process to avoid deadlock. These strategies are called static reconfiguration techniques. Although network reconfigurations are not frequent, static reconfiguration such as this may take hundreds of milliseconds to execute, thus degrading system availability significantly. Several distributed real-time applications have strict communication requirements; Distributed multimedia applications have similar, although less strict, quality of service (QoS) requirements. Both stopping packet transmission and discarding packets due to the reconfiguration process prevent the system from satisfying the above requirements. Therefore, in order to support hard real-time and distributed multimedia applications over a high-speed LAN, we need to avoid stopping user traffic and discarding packets when the topology changes. In this paper, we propose a new deadlock-free distributed reconfiguration protocol that is able to asynchronously update routing tables without stopping user traffic. This protocol is valid for any topology, including regular as well as irregular topologies. It is also valid for packet switching as well as for cut-through switching techniques and does not rely on the existence of virtual channels to work. Simulation results show that the behavior of our protocol is significantly better than for other protocols based on stopping user traffic  相似文献   

9.
Network-based parallel computing systems often require the ability to reconfigure the routing algorithm to reflect changes in network topology if and when voluntary or involuntary changes occur. The process of reconfiguring a network's routing capabilities may be very inefficient and/or deadlock-prone if not handled properly. We propose efficient and deadlock-free dynamic reconfiguration schemes that are applicable to routing algorithms and networks which use wormhole, virtual cut-through, or store-and-forward switching, combined with hard link-level flow control. One requirement is that the network architecture use virtual channels or duplicate physical channels for deadlock-handling as well as performance purposes. The proposed schemes do not impede the injection, transmission, or delivery of user packets during the reconfiguration process. Instead, they provide uninterrupted service, increased availability/reliability, and improved overall quality-of-service support as compared to traditional techniques based on static reconfiguration.  相似文献   

10.
A spate of deadlock avoidance-based and deadlock recovery-based routing algorithms have been proposed in recent years without full understanding of the likelihood and characteristics of actual deadlocks in interconnection networks. This work models the interrelationships between routing freedom, message blocking, correlated resource dependencies, and deadlock formation. It is empirically shown that increasing routing freedom, as achieved by allowing unrestricted routing over multiple physical and virtual channels, reduces the probability of deadlocks and the likelihood of other types of correlated message blocking that can degrade performance. Moreover, when true fully adaptive routing is used in k-ary n-cube networks with two or more virtual channels (wormhole OF virtual cut-through switched), it is empirically shown that deadlocks are virtually eliminated in networks with n⩾2. These results indicate that deadlocks are very infrequent when the network and routing algorithm inherently provide sufficient routing freedom, thus increasing the viability of deadlock recovery routing strategies  相似文献   

11.
We present a simple distributed algorithm that resolves store-and-forward deadlocks in data communication networks. The basic idea of the algorithm is to detect cycles of nodes that may cause store-and-forward deadlocks, and to rotate packets along these cycles. The algorithm uses a fixed amount of storage in each node for its execution, and, under reasonable assumptions upon the routing and packet handling, it ensures that packets that enter the network arrive at their destinations in finite time.Part of this work was done while this author was on sabbatical leave with IBM, Thomas J. Watson Research Center, Yorktown Heights, NY 10598, USA.  相似文献   

12.
在无线Ad Hoc网络路由协议中引入功率控制不但可以降低网络能量消耗,同时还能改善网络的吞吐量、投递率等性能,已成为当前Ad Hoc网络的一个研究热点.本文提出了一种基于跨层功率控制的按需路由算法CPC-AODV(Cross-layer Power Control Ad hoc On-demand Distance Vector).算法按需建立多个不同功率级的路由,节点选择到目的节点最小功率级的路由来传递分组,并对网络层的数据分组、路由分组和MAC层控制帧的传输采用不同功率控制策略来降低能量消耗.仿真结果表明:算法有利于降低通信能量开销,延长网络寿命,提高网络投递率及改善网络时延.  相似文献   

13.
研究死锁形成几率随网络参数的变化规律 ,对于选择合适的寻径算法、改良网络设计方案都具有重要意义 .环形等多种网络都是 k元 n-立方体网络系列的拓扑同构体 .因此 ,k元 n-立方体网络死锁特征的研究结果具有一定的普遍适用性 .本文根据刻画死锁特征的死锁循环密度属性划分死锁类型 .利用死锁类型分析寻径适应性、物理通道、虚拟通道、缓冲区大小 ,消息长度以及缓冲区结构等参数对死锁形成几率的影响  相似文献   

14.
Routing is a key design parameter in the interconnection network of large parallel computers . Routing algorithms are classified into two different categories depending on the number of routing options available for each source–destination pair: deterministic (there is one path available) and adaptive (there are several ones). Adaptive routing has two opposed effects on network performance. On one hand, it provides routing flexibility that may help on avoiding a congested network area, thus improving network performance. On the other hand, it also may increase the Head-of-Line blocking effect due to more destination nodes sharing the port queues. Usually, adaptive routing uses virtual channels to provide routing flexibility and to guarantee deadlock freedom. Deterministic routing is simpler, which implies lower routing delay and it introduces less Head-of-Line blocking effect. In this paper, we propose an adaptive and HoL-blocking reduction routing algorithm for direct topologies that tries to combine the good properties of both worlds: It provides routing flexibility but also reduces the Head-of-Line blocking effect. To do that, this paper proposes several functions which use the XOR operation to efficiently distribute the packets among virtual channels based on their destination node. The resulting routing mechanisms have different properties depending on whether they enforce routing flexibility or Head-of-Line blocking reduction.  相似文献   

15.
Typical delay tolerant networks(DTNs)often suffer from long and variable delays,frequent connectivity disruptions,and high bit error rates.In DTNs,the design of an efficient routing algorithm is one of the key issues.The existing methods improve the accessibility probability of the data transmission by transmitting many copies of the packet to the network,but they may cause a high network overhead.To address the tradeoff between a successful delivery ratio and the network overhead,we propose a DTN routing algorithm based on the Markov location prediction model,called the spray and forward routing algorithm(SFR).Based on historical information of the nodes,the algorithm uses the second-order Markov forecasting mechanism to predict the location of the destination node,and then forwards the data by greedy routing,which reduces the copies of packets by spraying the packets in a particular direction.In contrast to a fixed mode where a successful-delivery ratio and routing overhead are contradictory,a hybrid strategy with multi-copy forwarding is able to reduce the copies of the packets efficiently and at the same time maintain an acceptable successful-delivery ratio.The simulation results show that the proposed SFR is efficient enough to provide better network performance than the spray and wait routing algorithm,in scenarios with sparse node density and fast mobility of the nodes.  相似文献   

16.
This paper presents a theoretical framework for the design of deadlock-free fully adaptive routing algorithms for a general class of network topologies and switching techniques in a single, unified theory. A general theory is proposed that allows the design of deadlock avoidance-based as well as deadlock recovery-based wormhole and virtual cut-through adaptive routing algorithms that use a homogeneous or a heterogeneous (mixed) set of resources. The theory also allows channel queues to be allocated nonatomically, utilizing resources efficiently. A general methodology for the design of fully adaptive routing algorithms applicable to arbitrary network topologies is also proposed. The proposed theory and methodology allow the design of efficient network routers that require minimal resources for handling infrequent deadlocks  相似文献   

17.
《Computer Communications》2002,25(11-12):997-1008
Wormhole switching has been widely applied to the interconnection networks of parallel systems as well as System Area Networks, and Local Area Networks, largely because of its efficiency and performance merits. Examples include the Myrinet of Myricom Inc as well as most of the newly developed parallel systems. True Fully Adaptive Routing (TFAR) Algorithms have demonstrated their suitability for wormhole switched networks due to their unrestricted Adaptivity and moderate resource requirements. Wormhole switching has proven to be the most popular switching technique targeted for interconnection networks of message-passing multicomputers as well as SANs, and LANs. TFAR Algorithms have also been gaining favor for application in wormhole switched networks due to their highly adaptive and moderate hardware requirements. Wormhole switched networks have associated drawbacks however, as they generally suffer from performance degradation beyond the saturation point due to channel congestion. Fully adaptive algorithms are vulnerable to cyclic dependencies, which are precursors to deadlock formations. Consequently the frequent occurrence of deadlocks can further degrade the performance and stability characteristics of these networks. Injection limitation techniques were recently introduced in an attempt to countermeasure these drawbacks and effectively contain their impact on the performance of the network. This paper proposes a new injection limitation mechanism and its performance evaluation. The new mechanism is named Congestion Level Injection Control (CLIC). This mechanism attempts to provide a solution for these problems and improve the overall performance of the network. The new mechanism is centered on congestion level estimation in the network using only local information at each node. The mechanism subsequently prevents the injection of new packets if the network is deemed to be highly congested or possibly close to its saturation point. The performance of the CLIC mechanism has been compared with other competing schemes. Our results have shown that CLIC has superior performance when compared to other competing schemes.  相似文献   

18.
Deflection routing resolves output port contention in packet switched multiprocessor interconnection networks by granting the preferred port to the highest priority packet and directing contending packets out other ports. When combined with optical links and switches, deflection routing yields simple bufferless nodes, high bit rates, scalable throughput, and low latency. We discuss the problem of packet synchronization in synchronous optical deflection networks with nodes distributed across boards, racks, and cabinets. Synchronous operation is feasible due to very predictable optical propagation delays. A routing control processor at each node examines arriving packets and assigns them to output ports. Packets arriving on different input ports must be bit wise aligned; there are no elastic buffers to correct for mismatched arrivals. “Time of flight” packet synchronization is done by balancing link delays during network design. Using a directed graph network model, we formulate a constrained minimization problem for minimizing link delays subject to synchronization and packaging constraints. We demonstrate our method on a ShuffleNet graph, and show modifications to handle multiple packet sizes and latency critical paths  相似文献   

19.
A distributed algorithm for the detection of deadlocks in store-and-forward communication networks is presented. At first, we focus on a static environment and develop an efficient knot detection algorithm for general graphs. The knot detection algorithm uses at most O(n2+ m) messages and O(log (n)) bits of memory to detect all deadlocked nodes in the static network. Using the knot detection algorithm as a building block, a deadlock detection algorithm in a dynamic environment is developed. This algorithm has the following properties: It detects all the nodes which cause the deadlock. The algorithm is triggered only when there is a potential for deadlock and only those nodes which are potentially deadlocked perform the algorithm. The algorithm does not affect other processes at the nodes.  相似文献   

20.
In this article, we study the gradient-based approaches for efficient data dissemination in wireless sensor networks. Based on the classical minimum hop algorithm, we develop a Maximizing Energy Utilization Routing Protocol (MEURP), which exploits local flooding mechanism to contact with multiple neighboring nodes. In contrast with the traditional flat-based algorithm, our MEURP solution enjoys a back-off waiting scheme to alleviate the flooding overhead in the course of gradient setup phase. For ease of implementation, we also introduce an IEEE 802.11 liked mechanism to cope with the channel contention, as well as the packet transmission errors. Together with employing a concise cost table associated with each intermediate node, MEURP makes use of a multiple routing selection mechanism to forward packets. In face of the frequent alteration of network topology, a compact gradient reconfiguration mechanism is also brought in. Simulation experiments demonstrate that MEURP significantly outperform traditional flat-based and energy aware algorithms in terms of network lifetime and data packet throughput, respectively.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号