首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 218 毫秒
1.
利用FPGA的可重构特点,建立一个可重构的SOC设计平台.该平台第一层为可重构的FPGA,第二层为利用FPGA资源搭建的LEON2 SOC系统,由RISC处理器软核、AMBA总线以及IP模块结构组成,第三层是应用层,在SOC系统的基础上实现各种应用.为了实现这个目标,SOC系统中的IP模块应该具有两个特点:即插即用和参数化.基于该平台,成功实现了嵌入式MPEG2视频解码器的不同应用.证明了可重构的设计平台能够满足不同的应用需求.  相似文献   

2.
介绍了基于FPGA嵌入式系统的多通道高速数据收发模块的用户IP核设计。在Xilinx公司的ISE开发工具中,用FPGA器件中的硬核RocketIO及软核FIFO设计用户逻辑;使用嵌入式开发工具EDK封装成可在FPGA嵌入式系统中使用的用户自定义IP核,最后通过实际测试验证了该方法的实效性。  相似文献   

3.
一种嵌入式USB2.0主机控制器IP核的研究与设计   总被引:2,自引:0,他引:2  
用硬件描述语言verilog HDL设计实现了一种嵌入武USB2.0主机控制器IP核,简要介绍了嵌入武USB主机设计背景,重点描述了USB主机控制器IP核的结构划分和各模块的设计分析,最后给出了nc-verilog功能仿真方案以及FPGA验证方案.通过nc-verilog功能仿真及FPGA验证表明,此lP核可以作为一个独立模块应用到嵌入式系统中.  相似文献   

4.
许莉  韦嵚  车书玲 《微电子学》2019,49(4):524-528
以集成电路的快速发展与广泛应用为契机,针对FPGA开发过程中IP软核可复用的特点,提出一种提升FPGA嵌入式块存储器工作频率的IP软核设计方法。利用软件对不同读写类型和不同输入位宽的数据进行预处理,获取所需的硬件资源开销,并生成相应的硬件描述语言。IP软核设计时,在使用固定硬件资源的情况下,通过优化数据预处理方法,以及改变在综合阶段布局布线的处理结果,提高了工作频率。对设计的IP软核进行测试验证,结果表明,该设计方法生成的IP软核的功能和性能指标均符合设计要求,其工作频率最高可提升25.56%。  相似文献   

5.
依据ATA6协议,在FPGA上设计对IDE硬盘的控制模块,同时使用Xilinx的多端口内存控制器MPMC IP核,实现能够访问外部DDR2_SDRAM的NPI接口控制器,在此基础上,利用嵌入式FPGA开发环境,设计顶层控制IP核,完成硬盘控制器与NPI接口的有效衔接,在MicroBlaze的控制下,数据在硬盘与DDR2_SDRAM之间直接高速传输,实现了高性能DMA控制器的功能,从而提高了主机CPU利用率。设计在Xilinx的XUPV5_LX110T 开发平台得到了验证。  相似文献   

6.
本文对通用微处理器MicroBlaze的系统结构加以介绍,并利用FPGA的MicroBlaze IP核进行嵌入式系统应用设计,从而实现SOPC(可编程系统芯片)。  相似文献   

7.
介绍了SoPC平台下嵌入式Linux系统的ALSA音频子系统, 针对Xilinx开发板的AC97控制器IP核,给出在嵌入式SoPC平台下音频驱动的设计方法及实现.该设计方法充分利用Linux内核对音频子系统提供的支持,克服嵌入式AC97控制器IP核IO缓冲区小的缺点,实现对该IP核的驱动程序.该驱动程序满足实时性要求,且在各SoPC平台具有可移植性.  相似文献   

8.
为了产生性能良好的伪噪声(PN)序列,提出了一种超混沌伪噪声比特序列发生器的设计与实现方法.设计中利用一个新的连续超混沌系统作为PN序列的随机信号源,建立了连续系统的离散和量化数学模型,在Simulink平台上借助于DSP Builder里的模块构建了该离散化模型的电路模型,利用 FPGA芯片在实验中获得了数字混沌PN序列.同时对产生的PN序列进行了性能评估,其结果通过了5个基本测试标准.该技术可应用于混沌通信、信息加密等领域.  相似文献   

9.
为了简化IP核的设计过程,本文介绍了一种基于FPGA的中值滤波算法的IP核实现方法.针对FPGA 的特点对实现方法进行了研究,从而简化了复杂算法的IP核设计问题.实验结果表明,该IP核设计方法具有设计周期短,可靠性高等特点.  相似文献   

10.
分析了与标准8051 MCU兼容的MC8051 IP核结构原理与设计层次,详细论述了MC8051 IP核的FPGA实现与应用方法。通过试验验证,其性能比标准8051 MCU高,方便与系统其他模块的集成。在各种嵌入式系统和片上系统中使用该IP核具有重要意义。  相似文献   

11.
通用串行总线USB是当前主流的计算机外设接口的总线标准。设计实现USB各功能模块的IP核对于SoC领域发展具有重要的现实意义。本文介绍了主机控制器端的串行接口引擎IP的设计、电路的功能仿真、综合以及验证等过程,提出并讨论了基于中科SoC开发平台的软/硬件协同设计验证的IP设计方法。结果表明该IP在功能和时序上符合USB技术规范1.1版本。达到了预定目标。  相似文献   

12.
现场可编程门阵列(FPGA)是一种应用灵活的电子器件。以Xilinx公司的FPGA为目标器件,利用Xilinx公司的Microblaze微处理器软核,采用基于FPGA的嵌入式系统设计的方法,对构建基于Xilinx FPGA的以太网数据通信系统进行了研究,给出一种不同以往的在FPGA下实现全部以太网的方案。给出了系统的软硬件各个模块以及整体设计的实现,最后通过一个简单的远程控制实例介绍了其工作流程。  相似文献   

13.
以AlteraFPGA系列CycloneEPlCl2Q240C8器件为载体,通过SoPC技术构建嵌入式软核NiosⅡ处理器平台,运用VerilogHDL硬件描述语言设计等精度测量载波频率IP核、红外信号解调IP核、红外编码脉宽测量IP核和红外发送调制逻辑电路,以实现载波的精确测量、红外信号解调、脉宽测量和调制功能,并给出了外围硬件电路和软件设计方案。实验表明,该遥控器解决了单片机因时钟频率低而无法对载波频率进行测量的瓶颈,实现了对任何一款普通遥控器的按键编码学习,真正完成了学习型遥控器的学习功能。  相似文献   

14.
为了使便携式心电监护仪具有友好的人机交互和方便的显示,移植了一个GUI界面系统。以DE2-70配套开发板为验证平台,TFTLCDIP核是在QuartusII9.0软件平台下,使用Verilog在FPGA上用硬件逻辑电路进行设计。该IP核是利用Quartus11开发和其集成的SOPCBuilder系统开发工具而设计的。μC/GUI则是在配套开发软件NiosIIIDE中进行移植实现。实验结果表明,μC/GUI界面系统成功运行在开发板上,可实现窗口管理、在指定位置显示文字和显示图片等功能。  相似文献   

15.
针对片上系统(SoC)开发周期较长和现场可编程门阵列(FPGA)可重用的特点,设计了基于ARM7TDMI处理器核的SoC的百万门级FPGA验证平台。介绍了怎样设计平台并利用该平台进行IP核验证、底层硬件驱动和实时操作系统及高层应用软件的验证。使用该平台能够基本验证SoC系统的设计,并加快SoC系统的开发。整个系统原理清晰,结构简单,扩展灵活、方便。  相似文献   

16.
设计了一种用于测试SDRAM的可编程直接存储器存取控制模块(PDMA),把设计的PDMA作为IP软核,在基于PCI环境的RTL仿真平台上进行功能仿真、综合并将结果下载到PFGA上,建立基于FPGA的测试平台进行硬件测试验证。结果表明,板上PDMA工作频率66MHz,达到快速访问的设计要求。PDMA仿真了多个IP与SDRAM的数据交换,并且建立在通用的PCI环境下。因此本设计方法和建立的仿真测试环境可用于不同的IP,是解决不同IP开发中十分重要的仿真测试方案,大大缩短了IP开发的测试和验证的时间,对于发展IP软核有重要意义。  相似文献   

17.
基于SOPC和DDS技术的介电电泳芯片控制系统设计   总被引:1,自引:1,他引:0  
介绍了一种利用SOPC和DDS技术控制介电电泳芯片的方案.通过FPGA的DSP开发工具DSP Builder对直接数字频率合成器(DDS)进行建模,在QuartusII软件中生成DDS IP核.以Altera公司的嵌入在FPGA(Cy-clonII EP2C35)中的RISC结构的CPU软核NiosII为基础,控制四相位DDS模块实现驱动行波介电电泳芯片所需的四相位正弦波频率、相位和幅度的数字预制和步进,使介电电泳芯片内形成行波介电电场,驱动生物粒子随行波作定向移动,达到分离不同生物粒子的目的.重点讨论了基于DSP Builder的DDS IP核设计,系统的软、硬件实现方法,并通过仿真分析证明了这种设计方法的正确性和实用性.  相似文献   

18.
基于ARM7TDMI的SoC芯片的FPGA验证平台设计   总被引:4,自引:0,他引:4  
针对片上系统(SoC)开发周期较长和现场可编程门阵列(FPGA)可重用的特点,设计了基于ARM7TDMI处理器核的SoC的FPGA验证平台,介绍了怎样利用该平台进行软硬件协同设计、IP核验证、底层硬件驱动和实时操作系统设计验证.使用该平台通过软硬件协同设计,能够加快SoC系统的开发.整个系统原理清晰,结构简单,扩展灵活、方便.  相似文献   

19.
王燕  向采兰 《微电子学与计算机》2006,23(11):210-212,216
目前,基于IP核复用的SOC技术已经发展成为IC设计的一种主流技术,而SOC设计的关键是可复用IP核的获取.IP核网络管理系统可以有效的组织和管理IP核数据,并且为用户查找、选择合适的IP核提供一个便利的公共平台.文章主要分析了IP核数据的特点,从而确立了IP核的数据结构;并且详细讨论了IP核网络管理系统的设计考虑与实现过程.  相似文献   

20.
以基于Linux开源软件的广电核心承载网监控平台的开发案例为基础,对IP城域网流控系统架构进行了探讨,结合广电IP城域网的实际网络特性,提出核心承载网监控平台的部署方案,并系统地介绍了该核心承载网监控平台的开发、部署以及实际应用情况。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号