首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 421 毫秒
1.
Phase change memory is one of the most promising non-volatile memory for the next generation memory media due to its simplicity, wide dynamic range, fast switching speed and possibly low power consumption. Low power consuming operation of phase change random access memory (PRAM) can be achieved by confining the switching volume of phase change media into nanometer scale. Nanoimprint lithography is an emerging lithographic technique in which surface protrusions of a mold such as sub-100 nm patterns are transferred into a resin layer easily. In this study, crossbar structures of phase change device array based on Ge2Sb2Te5 were successfully fabricated at 60 nm scale by two consecutive UV nanoimprint lithography and metal lift-off process, which showed on/off resistance ratio up to 3000.  相似文献   

2.
With the increasing requirement of high density memory technology, a new cell structure—1TR has received much attention. It consists of a single thin film transistor (TFT) with chalcogenide Ge2Sb2Te5 as the channel material. In order to evaluate the feasibility of its application in the field of non-volatile memory, we take a further step in researching on the characteristics of GST-TFT. We fabricated a back-gate GST-TFT and investigated the output and transfer characteristics of its two states. The experimental results show that gate voltage can modulate the GST channel currents in both the amorphous and the crystalline states. Based on the experiments, we can expect that this novel device can ultimately lead to a new nonvolatile memory technology with even higher storage density.  相似文献   

3.
Phase change random access memory(PCRAM) is one of the best candidates for next generation nonvolatile memory,and phase change Si2Sb2Te5 material is expected to be a promising material for PCRAM.In the fabrication of phase change random access memories,the etching process is a critical step.In this paper,the etching characteristics of Si2Sb2Te5 films were studied with a CF4/Ar gas mixture using a reactive ion etching system.We observed a monotonic decrease in etch rate with decreasing CF4 concentration,meanwhile,Ar concentration went up and smoother etched surfaces were obtained.It proves that CF4 determines the etch rate while Ar plays an important role in defining the smoothness of the etched surface and sidewall edge acuity.Compared with Ge2Sb2Te5, it is found that Si2Sb2Te5 has a greater etch rate.Etching characteristics of Si2Sb2Te5 as a function of power and pressure were also studied.The smoothest surfaces and most vertical sidewalls were achieved using a CF4/Ar gas mixture ratio of 10/40,a background pressure of 40 mTorr,and power of 200 W.  相似文献   

4.
Phase change random access memory alloys (PRAM or PCM) are a class of non-volatile memory that is thought as viable alternatives to flash memory technology or to supplement other memory technologies depending on the end applications and its key performance requirements. Ge2Sb2Te5 alloy (GST) is the most widely used chalcogenide material for PCM application, and has many unique properties, including strong temperature-dependent film properties, low thermal conductivity, and high electrical resistivity. Picosecond ultrasonics was used to make non-contact, non-destructive measurements of GST films on blanket wafers and directly on product wafers. On-product wafer measurements were made on various via array (0.5 μm and 1 μm between cell edges with CD size from 250 to 800 nm). Measurements have shown excellent correlation to cross-section SEM and were consistent with CMP polish times for both blanket and pattern wafer measurement. Excellent repeatability based on extensive measurements demonstrates the capability and reliability of picosecond ultrasonic technology. Picosecond ultrasonic measurements also provide rapid characterization across the whole wafer at production-worthy throughputs.  相似文献   

5.
Etching of Ge2Sb2Te5 (GST) is a critical step in the fabrication of chalcogenide random access memories. In this paper, the etch characteristics of GST films were studied with a CF4/Ar gas mixture using a reactive-ion etching system. We observed a monotonic decrease in etch rate with decreasing CF4 concentration indicating its importance in defining the material removal rate. Argon, on the other hand, plays an important role in defining the smoothness of the etched surface and sidewall edge acuity. We have studied the importance of gas mixture and RF power on the quality of the etched film. The smoothest surfaces and most vertical sidewalls were achieved using a CF4/Ar gas mixture ratio of 10/40, a background pressure of 80 mTorr, and power of 200 W.  相似文献   

6.
New ZrO2/Al2O3/ZrO2 (ZAZ) dielectric film was successfully developed for DRAM capacitor dielectrics of 60 nm and below technologies. ZAZ dielectric film grown by ALD has a mixture structure of crystalline phase ZrO2 and amorphous phase Al2O3 in order to optimize dielectric properties. ZAZ TIT capacitor showed small Tox.eq of 8.5 Å and a low leakage current density of 0.35 fA/cell, which meet leakage current criteria of 0.5 fA/cell for mass production. ZAZ TIT capacitor showed a smaller cap leak fail bit than HAH capacitor and stable leakage current up to 550 °C anneal. TDDB (time dependent dielectric breakdown) behavior reliably satisfied the 10-year lifetime criteria within operation voltage range.  相似文献   

7.
崔金玉  杨平雄 《红外》2018,39(12):8-11
以硝酸铜Cu(NO3)2·3H2O、硝酸铬Cr(NO3)3·9H2O、硝酸铋Bi(NO3)3·3H2O和乙二醇为原料,利用溶胶-凝胶工艺在石英衬底上制备了纳米Cu2Bi2Cr2O8薄膜。通过X射线衍射(X-Ray Diffraction, XRD)和拉曼测试对样品进行了表征。结果表明,Cu2Bi2Cr2O8薄膜具有良好的光学特性,其禁带宽度为1.49 eV;在磁性测试方面,Cu2Bi2Cr2O8薄膜呈现出了良好的铁磁性。  相似文献   

8.
This paper reviews material properties of chalcogenide phase change material Ge2Sb2Te5 under thermal anneal treatments. Stress evolutions of pure Ge2Sb2Te5 films and stacks of Ge2Sb2Te5 integrating with Ti adhesion layers are investigated. Segregation of Te atoms in the Ge2Sb2Te5 film to the interface drives an interaction between Ti and Te atoms and formation of Ti-Te binary phases. The irreversible phase segregation and modification of Ge2Sb2Te5 change the crystallization process, completely suppress the final transformation into otherwise stable hcp phase, and thus impact the ultimate life-cycle of such a phase change based memory cell. Since the adhesion layer is required in cell applications, the optimization of adhesion layer material and thickness may improve the life-cycles and reliability of devices.  相似文献   

9.
《Microelectronic Engineering》2007,84(9-10):1976-1981
This article deals with future memory technologies in the next mobile era. First concern is about whether NAND flash memory and DRAM will succeed to evolve beyond 50 nm technologies. Now, technological needs in both memories play a driving engine in pushing further for scaling of a device dimension. Secondly, entirely different types of non-volatile memories can start to penetrate main memory markets as an alternative of NAND flash memory or DRAM in the not-too-distant future. Along with 3-D access transistors, it is widely accepted that 3-D MIM capacitors with ultra high-K dielectrics and noble electrodes will extend silicon technology down to a technology node between 20 to 30 nm. With charge-trap-flash technology, NAND flash memory will extend its technology node down to 20 - 30 nm. Among the candidates for the next generation, PRAM and FRAM begin to burgeon in mass-production. Beyond a 50 nm technology node, scaling of PRAM could be successful by the development of new material and new cell structure. 3-D ferroelectric-capacitor technology is critical for FRAM to enter a 90 nm technology node and beyond.  相似文献   

10.
Rare earth oxides (REOs) have lately received extensive attention in relation to the continuous scaling down of non-volatile memories (NVMs). In particular, La2O3 films are promising for integration into future NVMs because they are expected to crystallize above 400 °C in the hexagonal phase (h-La2O3) which has a higher κ value than the cubic phase (c-La2O3) in which most of REOs crystallize. In this work, La2O3 films are grown on Si by atomic layer deposition using La(C5H5)3 and H2O. Within the framework of the h-La2O3 formation, we systematically study the crystallographic evolution of La2O3 films versus annealing temperature (200-600 °C) by Fourier transform infrared spectroscopy (FTIR) and grazing incidence X-ray diffraction (GIXRD). As-grown films are chemically unstable in air since a rapid transformation into monoclinic LaO(OH) and hexagonal La(OH)3 occurs. Vacuum annealing of sufficiently thick (>100 nm) La(OH)3 layers induces clear changes in FTIR and GIXRD spectra: c-La2O3 gradually forms in the 300-500 °C range while annealing at 600 °C generates h-La2O3 which exhibits, as inferred from our electrical data, a desirable κ ∼ 27. A quick transformation from h-La2O3 into La(OH)3 occurs due to H2O absorption, indicating that the annealed films are chemically unstable. This study extends our recent work on the h-La2O3 formation.  相似文献   

11.
Metal-multiferroic (La-substituted BiFeO3)-insulator (CeO2)-semiconductor (MFIS) capacitors has been fabricated. The crystalline phase and amount of La3+ substitution at Bi-site were investigated by XRD and XPS in the postannealing temperature range from 500 to 700 °C, respectively. The microstructure and interfacial layer between CeO2 and Si substrate were characterized by HRTEM. The memory windows as functions of insulator film thickness and DC power for La were measured. The maximum memory window is about 1.9 V under ±6 V applied voltage. The ferroelectric polarization increases with increasing substitution amount. The morphologies of La-substituted BiFeO3 films were also studied by AFM.  相似文献   

12.
Characteristics of BaZrO3 (BZO) modified Sr0.8Bi2.2Ta2O9 (SBT) thin films fabricated by sol-gel method on HfO2 coated Si substrates have been investigated in a metal-ferroelectric-insulator-semiconductor (MFIS) structure for potential use in a ferroelectric field effect transistor (FeFET) type memory. MFIS structures consisting of pure SBT and doped with 5 and 7 mol% BZO exhibited memory windows of 0.81, 0.82 and 0.95 V with gate voltage sweeps between −5 and +5 V, respectively. Leakage current density levels of 10−8 A/cm2 for BZO doped SBT gate materials were observed and attributed to the metallic Bi on the surface as well as intrinsic defects and a porous film microstructure. The higher than expected leakage current is attributed to electron trapping/de-trapping, which reduces the data retention time and memory window. Further process improvements are expected to enhance the electronic properties of doped SBT for FeFET.  相似文献   

13.
Electrical properties of mixed HfO2-Ta2O5 films (10;15 nm) deposited by rf sputtering on Si have been studied from the view point of their applications as high-k layers, by standard capacitance-voltage and temperature dependent current-voltage characteristics. The effect of HfO2 addition to the Ta2O5 is thickness dependent and the thicker layers exhibit advantages over the pure Ta2O5 (higher dielectric constant, enhanced charge storage density and improved interface quality). The process of HfO2 and Ta2O5 mixing introduces negative oxide charge, tends to creates shallow bulk traps and modifies the dominant conduction mechanisms in the stack capacitors as compared to the Ta2O5-based one (a contribution of tunneling processes through traps located below the conduction band of mixed layers to the leakage current in the HfO2-Ta2O5 stacks is observed). The traps involved in both Poole-Frenkel and tunneling processes are identified.  相似文献   

14.
Hafnium oxide (HfO2) films were deposited on Si substrates with a pre-grown oxide layer using hafnium chloride (HfCl4) source by surface sol-gel process, then ultrathin (HfO2)x(SiO2)1−x films were fabricated due to the reaction of SiO2 layer with HfO2 under the appropriate reaction-anneal treatment. The observation of high-resolution transmission electron microscopy indicates that the ultrathin films show amorphous nature. X-ray photoelectron spectroscopy analyses reveal that surface sol-gel derived ultrathin films are Hf-Si-O alloy instead of HfO2 and pre-grown SiO2 layer, and the composition was Hf0.52Si0.48O2 under 500 °C reaction-anneal. The lowest equivalent oxide thickness (EOT) value of 0.9 nm of film annealed at 500 °C has been obtained with small flatband voltage of −0.31 V. The experimental results indicate that a simple and feasible solution route to fabricate (HfO2)x(SiO2)1−x composite films has been developed by means of combination of surface sol-gel and reaction-anneal treatment.  相似文献   

15.
采用水热法和电化学沉积法,成功制备了包覆有SnO2纳米颗粒的WO3纳米棒阵列薄膜,退火处理后形成WO3/SnO2异质结复合薄膜。通过改变SnO2的沉积时间得到了复合薄膜的最佳制备条件。采用XRD,FESEM对WO3/SnO2复合薄膜的物相和形貌进行了分析,通过电化学工作站对WO3/SnO2复合薄膜的光电性能进行了研究,结果表明,电沉积时间为120 s时,WO3/SnO2复合薄膜具有最小的阻抗,且在0.6 V的偏压下光电流密度为0.46 mA/cm2,相比于单一WO3纳米棒薄膜,表现出更好的光电化学性能。  相似文献   

16.
In this study we report the epitaxial growth of BaTiO3 films on Si(0 0 1) substrate buffered by 5 nm-thick SrTiO3 layer using both MBE and PLD techniques. The BaTiO3 films demonstrate single crystalline, (0 0 1)-oriented texture and atomically flat surface on SrTiO3/Si template. The electrical characterizations of the BaTiO3 films using MFIS structures show that samples grown by MBE with limited oxygen pressure during the growth exhibit typical dielectric behavior despite post deposition annealing process employed. A ferroelectric BaTiO3 layer is obtained using PLD method, which permits much higher oxygen pressure. The C-V curve shows a memory window of 0.75 V which thus enable BaTiO3 possibly being applied to the non-volatile memory application.  相似文献   

17.
Stacked HfAlO-SiO2 tunnel layers are designed for Pd nanocrystal nonvolatile memories. For the sample with 1.5 nm-HfAlO/3.5 nm-SiO2 tunnel layer, a smaller initial memory window is obtained compared to the sample with 3.5 nm-HfAlO/1.5 nm-SiO2 tunnel layer. Owing to the thermally induced traps in HfAlO-SiO2 films are located at a farther distance from the Si substrate and more effective blocking of charge leakage by asymmetric tunnel barrier, a larger final memory window and better retention characteristic can be obtained for Al/blocking oxide SiO2/Pd NCs/1.5 nm-HfAlO/3.5 nm-SiO2/Si structure. A N2 plasma treatment can further improve the memory characteristics. Better memory characteristics can be obtained for Pd-nanocrystal-based nonvolatile memory with an adequate thickness ratio of HfAlO to SiO2.  相似文献   

18.
Effects of excess Bi concentration, buffered Bi2O3 layer, and Ta doping on the orientation and ferroelectricity of chemical-solution-deposited (CSD) Bi3.25La0.75Ti3O12 (BLT) films on Pt/SiO2/Si(100) were studied. The optimum concentration of excess Bi added to the BLT films to achieve a larger remanent polarization (2Pr) was 10 mol.%. The buffered Bi2O3 layers could reduce the temperature for c-axis-oriented growth of BLT films from 850°C to 700°C. However, two-step annealing, i.e., first annealed at 650°C and then annealed at a temperature of 700–850°C, could effectively suppress the c-axis-oriented growth and thus improve the 2Pr of BLT films. The improvement of the 2Pr of BLT films can be explained in terms of the large polarization along the a-axis orientation and buffered Bi2O3 layers, which compensate the BLT films for Bi evaporation during annealing. The Ta doping can induce two contrary effects on the 2Pr of BLT films. For the (Bi3.25La0.75)(Ti3−xTax)O12 (BLTTx) films with x=0.005, the effect of a decrease of oxygen vacancies would be dominant, resulting in the improvement of 2Pr. Because the Ta concentration (x) in the BLTTx films exceeds 0.01, the effect of a decrease of grain size would become dominant, resulting in the degradation of 2Pr.  相似文献   

19.
Nonvolatile memories have emerged in recent years and have become a leading candidate towards replacing dynamic and static random-access memory devices. In this article, the performances of TiO2 and TaO2 nonvolatile memristive devices were compared and the factors that make TaO2 memristive devices better than TiO2 memristive devices were studied. TaO2 memristive devices have shown better endurance performances (108 times more switching cycles) and faster switching speed (5 times) than TiO2 memristive devices. Electroforming of TaO2 memristive devices requires~4.5 times less energy than TiO2 memristive devices of a similar size. The retention period of TaO2 memristive devices is expected to exceed 10 years with sufficient experimental evidence. In addition to comparing device performances, this article also explains the differences in physical device structure, switching mechanism, and resistance switching performances of TiO2 and TaO2 memristive devices. This article summarizes the reasons that give TaO2 memristive devices the advantage over TiO2 memristive devices, in terms of electroformation, switching speed, and endurance.  相似文献   

20.
Nitrogen-doped Ge2Sb2Te5 (GST) films for nonvolatile memories were prepared by reactive sputtering with a GST alloy target. Doped nitrogen content was determined by using x-ray photoelectron spectroscopy (XPS). The crystallization behavior of the films was investigated by analyzing x-ray diffraction (XRD) and differential scanning calorimetry (DSC). Results show that nitrogen doping increases crystallization temperature, crystallization-activation energy, and phase transformation temperature from fcc to hexagonal (hex) structure. Doped nitrogen probably exists in the grain vacancies or grain boundaries and suppresses grain growth. The electrical properties of the films were studied by analyzing the optical band gap and the dependence of the resistivity on the annealing temperature. The optical band gap of the nitrogen-doped GST film is slightly larger than that of the pure GST film. Energy band theory is used to analyze the effect of doped nitrogen on electrical properties of GST films. Studies reveal that nitrogen doping increases resistivity and produces three relatively stable resistivity states in the plot of resistivity versus annealing temperature, which makes GST-based multilevel storage possible. Current-voltage (I-V) characteristics of the devices show that nitrogen doping increases the memory’s dynamic resistance, which reduces writing current from milliampere to microampere.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号