首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Inductively coupled plasma (ICP) system has been widely used for anisotropic silicon etching because it offers high aspect ratio with a vertical side wall. The isotropic etching capability of the ICP system, however, has not gained much attention, even though it possesses advantages in profile control and high etching rate over wet isotropic etching or conventional RIE (reactive ion etching). We report here an isotropic dry etching process to release microcantilever beams. Investigations have covered chamber pressure, plasma source power, substrate power, SF6 (sulfur hexafluoride) flow rate relating to Si etching rate, undercutting rate, and isotropic ratio. The SiO2 (silicon dioxide) cantilevers were successfully released from the Si substrate and the optimized silicon etching rate was 9.1 μm per minute. The etching profiles were analyzed by scanning electron micrographs (SEM).  相似文献   

2.
The reactive ion etching (RIE) of SiO2 in CF4 + H2 plasma is considered. The influence of activated polymer on the RIE rate of SiO2 in CF4 + H2 plasma is determined by extrapolation of experimentally measured kinetics of the etching rate. It is found that the increased surface coverage by CF2 radicals suppresses the RIE rate of SiO2 in CF4 + H2 plasma during the initial stages of the etching process. The formation of activated polymer becomes pronounced when adsorbed CF2 radicals are slowly activated. The activated polymer intensifies the etching reaction and enhances the etching rate. At the same time, the activated polymer intensifies the polymerization reactions. The increased surface coverage by the polymer suppresses the RIE rate of SiO2 in CF4 + H2 plasma at later stages of the etching process.  相似文献   

3.
This paper reports the reactive ion etching (RIE) characteristics of benzocyclobutene (BCB) in sulfur hexafluoride/oxygen (SF6/O2) plasmas. The dependence of etching rate and etch anisotropy on the processing parameters, including RF power, chamber pressure, and SF6 concentration, are investigated comprehensively ranging from 50 to 200 W, 22.5 to 270 mTorr, and 0% to 80%, respectively. The BCB etching rate increases with chamber pressure and RF power in spite of nonlinearity, but decreases with the increase in SF6 concentration. Anisotropic etching can be achieved using low chamber pressure, large RF power, and high SF6 concentration. To avoid grass-like residue that happens at low pressure and large power fluorine-poor conditions, processing parameters with respect to residue-free etching are recommended. The etching mechanisms of the dependence of the etching characteristics on the processing parameters are discussed. Optimal processing parameters are presented as a guideline for isotropic etching of BCB as sacrificial layers to release structures and for anisotropic etching of BCB to precisely control etching dimensions and profiles.  相似文献   

4.
Dry plasma etching of sub-micron structures in a SiO2/Si/SiO2 layer system using Cr as a mask was performed in a fluorocarbon plasma. It was determined that the best anisotropy could be achieved in the most electropositive plasma. A gas composition yielding the desired SOI planar photonic crystal structures was optimized from the available process gases, Ar, He, O2, SF6, CF4, c-C4F8, CHF3, using DC bias data sets. Application of the c-C4F8/(noble gas) chemistry allowed fabrication of the desired SOI planar photonic crystal. The average etching rates for the pores and ridge waveguide regions were about 71 and 97 nm/min, respectively, while the average SiO2/Si/SiO2 to Cr etching selectivity for the ridge waveguide region was about 33:1 in case of the c-C4F8/90%Ar plasma with optimized parameters.  相似文献   

5.
We investigated GaN films etched by using reactive ion etching (RIE) technique to fabricate the GaN-based devices. The samples were grown on sapphire substrate by metal organic chemical vapor deposition (MOCVD), and Ti/Al contacts were formed on n-GaN surfaces after etching processes. The effects of the kinds of reactive gases were evaluated by secondary ion mass spectrometry (SIMS). The results showed that in the sample etched using BCl3 gas, the signal from boron contaminations was strongly detected at the interface between the contact metal and n-GaN, and we found that additional etching in Cl2 plasma after etching with BCl3 gas was essential to make a good contact.  相似文献   

6.
SiCl4-based reactive ion etching (RIE) is used to etch MgxZn1−xO (0≤x≤0.3) films grown on r-plane sapphire substrates. The RIE etch rates are investigated as a function of Mg composition, RIE power, and chamber pressure. SiO2 is used as the etching mask to achieve a good etching profile. In comparison with wet chemical etching, the in-plane etching anisotropy of MgxZn1−xO (0≤x≤0.3) films is reduced in RIE. X-ray photoelectron spectroscopy measurements show that there is no Si and Cl contamination detected at the etched surface under the current RIE conditions. The influence of the RIE to the optical properties has been investigated.  相似文献   

7.
Deep reactive ion etching (DRIE) of borosilicate glass was carried out using SF6 and SF6/Ar plasmas in an inductively coupled plasma (ICP) reactor. Electroplated Ni on Cu (≅50 nm)/Cr (≅100 nm)/glass structure using patterned SU-8 photoresist mask with a line spacing of 12-15 μm was used as a hard-mask for plasma etching. Plasma etching of borosilicate glass was performed by varying the various process parameters such as the gas chemistry, the gas flow ratio, the top electrode power, and the dc self-bias voltage (Vdc). In the case of using SF6 gas only, the profiles of the etched channel showed the undercut below the Ni hard-mask due to a chemical etching and the microtrenching at the bottom of the etched channel. An optimized process using the SF6 plasmas showed the glass etch rate of ≅750 nm/min. The addition of the Ar gas to the SF6 gas removed the undercut and microtrenching but decreased the etch rate to ≅540 nm/min. The increasing and decreasing time-dependent etch rates with the etch depth in the SF6 (200 sccm) and SF6(60%)/Ar(40%) plasmas, respectively, were ascribed to the different ion-to-neutral flux ratios leading to the different etch process regime.  相似文献   

8.
Reactive ion etching (RIE) was performed on gallium nitride (GaN) films grown by electron cyclotron resonance (ECR) plasma assisted molecular beam epitaxy (MBE). Etching was carried out using trifluoromethane (CHF3) and chloropentafluoroethane (C2ClF5) plasmas with Ar gas. A conventional rf plasma discharge RIE system without ECR or Ar ion gun was used. The effects of chamber pressure, plasma power, and gas flow rate on the etch rates were investigated. The etch rate increased linearly with the ratio of plasma power to chamber pressure. The etching rate varied between 60 and 500Å/min, with plasma power of 100 to 500W, chamber pressure of 60 to 300 mTorr, and gas flow rate of 20 to 50 seem. Single crystalline GaN films on sapphire showed a slightly lower etch rate than domain-structured GaN films on GaAs. The surface morphology quality after etching was examined by atomic force microscopy and scanning electron microscopy.  相似文献   

9.
The SrAl2O4:Eu2+ phosphor powders have been synthesized by sol-gel process. Electroluminescent (EL) properties of the SrAl2O4:Eu2+ phosphor were investigated using a convenient thick film device. Green light emitting at a peak of 508 nm was obtained when driven by sine alternating current (AC). The color coordinate of the emission was x=0.148 and y=0.635. Luminance-voltage and afterglow characteristics of the SrAl2O4:Eu2+ EL devices were studied. The results show that SrAl2O4:Eu2+ can be used as green phosphor for EL displays.  相似文献   

10.
The role of N2 on GaAs etching at 150 mTorr capacitively-coupled Cl2/N2 plasma is reported. A catalytic effect of N2 was found at 20-25% N2 composition in the Cl2/N2 discharges. The peak intensities of the Cl2/N2 plasma were monitored with optical emission spectroscopy (OES). Both atomic Cl (725.66 nm) and atomic N (367.05 nm) were detected during the Cl2/N2 plasma etching. With the etch rate and OES results, we developed a simple model in order to explain the etch mechanism of GaAs in the high pressure capacitively-coupled Cl2/N2 plasma as a function of N2 ratio. If the plasma chemistry condition became positive ion-deficient at low % N2 or reactive chlorine-deficient at high % N2 in the Cl2/N2 plasma, the GaAs etch rate is reduced. However, if the plasma had a more balanced ratio of Cl2/N2 (i.e. 20-25% N2) in the plasma, much higher etch rates (up to 150 nm/min) than that in pure Cl2 (50 nm/min) were produced due to synergetic effect of neutral chlorine adsorption and reaction, and positive ion bombardment. Pure Cl2 etching produced 14 nm of RMS surface roughness of GaAs. Introduction of ?20% N2 gas in Cl2/N2 discharges significantly reduced the surface roughness to 2-4 nm. SEM photos showed that the morphology of photoresist mask was strongly degraded. Etch rate of GaAs slightly increased from 10 to 40 nm/min when RIE chuck power changed from 10 to 150 W at 12 sccm Cl2/8 sccm N2 plasma condition. The surface roughness of GaAs etched at 12 sccm Cl2/8 sccm N2 plasma was 2-3 nm.  相似文献   

11.
对使用CF4/Ar 混合气体刻蚀Al1.3Sb3Te的特性进行了研究。实验控制的参数是:气体流入刻蚀腔的速率,CF4/Ar 比例,O2的加入量,腔内压强以及加在底电极上的入射射频功率。总的气体流量是50sccm ,研究刻蚀速率与CF4/Ar的比例,O2加入量,腔内压强和入射射频功率的关系。最后刻蚀参数被优化。 使用优化的刻蚀参数CF4的浓度4%,功率300W,压强800mTorr,刻蚀速率达到70.8nm/min,刻蚀表面平整  相似文献   

12.
Deep reactive ion etching (Deep-RIE) process was established for fabrication of highly nano-ordered metal oxide thin films such as TiO2, ZrO2, SnO2 etc, by the liquid phase infiltration (LPI) method. Electron beam lithography (EBL) technique and Deep-RIE were adapted to fabricate the Si wafer coated with a positive resist ZEP520A. Etching gas of SF6 and C4F8 was used for Deep-RIE process. The flow rate and repeating time were optimized in order to obtain the straight shape on the sidewalls of the trench or pillar structure. We used polymethylmethacrylate (PMMA) and acetylcellulose as a replica films. The transcribed replica films are applied to the liquid phase deposition reaction. The film structure was completely reproduced from the original shape of the designed Si wafer. The optical interference on the fabricated metal oxide thin films was also observed using absolute reflective visible spectroscopy.  相似文献   

13.
An etching process with high selectivity for SiN relative to SiO2 at a low temperature is required for an etching process in LSI process. We achieved SiN film etching with high selectivity using an organic solvent (ethylene glycol dimethyl ether) containing anhydrous hydrogen fluoride. Selectivity as high as 15 was obtained at 80 °C. It was found that anhydrous HF effectively induces high selectivity for SiN relative to SiO2. SiN film etching with high selectivity performed at low temperature for a single wafer process can be readily applied to future node technology devices.  相似文献   

14.
SF6气体在放电或过热时会发生电离和分解,产生多种气体分解物。这些分解物会影响电气设备的绝缘性能。但现有的红外气体检测手段均无法对SF6分解气体进行现场在线测量。根据实际需求,本文根据光栅分光的原理设计了一种红外光谱检测系统。该系统可对SF6分解气体的成分和含量进行实时测量,可在电气设备带电运行的状态下进行在线测量。测量数据为分析设备的性能和安全状况提供有力的依据。  相似文献   

15.
Characteristics of BaZrO3 (BZO) modified Sr0.8Bi2.2Ta2O9 (SBT) thin films fabricated by sol-gel method on HfO2 coated Si substrates have been investigated in a metal-ferroelectric-insulator-semiconductor (MFIS) structure for potential use in a ferroelectric field effect transistor (FeFET) type memory. MFIS structures consisting of pure SBT and doped with 5 and 7 mol% BZO exhibited memory windows of 0.81, 0.82 and 0.95 V with gate voltage sweeps between −5 and +5 V, respectively. Leakage current density levels of 10−8 A/cm2 for BZO doped SBT gate materials were observed and attributed to the metallic Bi on the surface as well as intrinsic defects and a porous film microstructure. The higher than expected leakage current is attributed to electron trapping/de-trapping, which reduces the data retention time and memory window. Further process improvements are expected to enhance the electronic properties of doped SBT for FeFET.  相似文献   

16.
The plasmochemical etching of SiO2 in CF4 + O2 plasma is considered. During the experiment SiO2 films are etched in CF4 + O2 plasma at temperatures of 300 and 350 K. The dependences of plasmochemical etching rates of SiO2 on O2 content in the feed are measured. The experimental measurements are compared with theoretical calculations. The obtained theoretical results are used to predict the real dimensions of etched trenches. It is found that decrease in temperature reduces lateral undercutting due to decreased desorption of formed SiF4 molecules from the sidewalls.  相似文献   

17.
本文中, 使用开尔文探针显微镜,研究了不同退火气氛(氧气或氮气)情况下氧化铪材料的电子和空穴的电荷保持特性。与氮气退火器件相比,氧气退火可以使保持性能变好。横向扩散和纵向泄露在电荷泄露机制中都起了重要的作用。 并且,保持性能的改善与陷阱能级深度有关。氮气和氧气退火情况下,氧化铪存储结构的的电子分别为0.44 eV, 0.49 eV,空穴能级分别为0.34 eV, 0.36 eV。 最后得到,不同退火气氛存储器件的电学性能也与KFM结果一致。对于氧化铪作为存储层的存储器件而言,对存储特性的定性和定量分析,陷阱能级,还有泄漏机制研究是十分有意义的。  相似文献   

18.
崔金玉  杨平雄 《红外》2018,39(12):8-11
以硝酸铜Cu(NO3)2·3H2O、硝酸铬Cr(NO3)3·9H2O、硝酸铋Bi(NO3)3·3H2O和乙二醇为原料,利用溶胶-凝胶工艺在石英衬底上制备了纳米Cu2Bi2Cr2O8薄膜。通过X射线衍射(X-Ray Diffraction, XRD)和拉曼测试对样品进行了表征。结果表明,Cu2Bi2Cr2O8薄膜具有良好的光学特性,其禁带宽度为1.49 eV;在磁性测试方面,Cu2Bi2Cr2O8薄膜呈现出了良好的铁磁性。  相似文献   

19.
Wide-gap insulator films, CaZrO3, CaHfO3, LaGaO3, and NdGaO3, were grown on SrTiO3(1 0 0) substrates with the aim of obtaining a gate insulator for epitaxial oxide devices. We show that CaZrO3 and CaHfO3 films were epitaxial and had a multi-domain in-plane structure due to their highly distorted perovskite structure. Most of the LaGaO3 and NdGaO3 films were polycrystalline, and therefore showed relatively high leak currents. CaHfO3 had the best crystallinity among these four materials.  相似文献   

20.
We present the process integration of the Pr-based high-k oxides Pr2O3, PrTixOy and PrxSiyOz for CMOS devices. MOS structures were grown in form of p+ poly-Si/Pr-based dielectric/Si(100) by MBE. RIE with CF4/O2 plasma was used to selectively remove the poly-Si layer. It was found that the Pr-based oxides layers can be dissolved with high selectivity in diluted H2SO4 solutions. Details of the etch kinetics of Pr-based oxides and poly-Si were studied. Electrical characteristics of MOS stacks with integrated PrxSiyOz are presented.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号